[openfirmware] [commit] r1921 - forth/wrapper

repository service svn at openfirmware.info
Wed Aug 11 04:11:24 CEST 2010


Author: wmb
Date: Wed Aug 11 04:11:23 2010
New Revision: 1921
URL: http://tracker.coreboot.org/trac/openfirmware/changeset/1921

Log:
ARM - added __cache_flush() to wrapper.

Modified:
   forth/wrapper/wrapper.c

Modified: forth/wrapper/wrapper.c
==============================================================================
--- forth/wrapper/wrapper.c	Fri Aug  6 03:27:27 2010	(r1920)
+++ forth/wrapper/wrapper.c	Wed Aug 11 04:11:23 2010	(r1921)
@@ -2282,6 +2282,10 @@
      char *adr;
      long len;
 {
+#if defined(__linux__) && defined(ARM) 
+	__clear_cache(adr, adr+len);
+#endif
+
 #if defined(__linux__) && defined(MIPS) 
        extern int cacheflush(char *addr, int nbytes, int cache);
        (void) cacheflush(adr, len, BCACHE);



More information about the openfirmware mailing list