[coreboot-gerrit] Change in coreboot[master]: mainboard/intel: Fix checkpatch errors in minnowmax

Martin Roth (Code Review) gerrit at coreboot.org
Fri Apr 7 21:41:28 CEST 2017


Martin Roth has submitted this change and it was merged. ( https://review.coreboot.org/19078 )

Change subject: mainboard/intel: Fix checkpatch errors in minnowmax
......................................................................


mainboard/intel: Fix checkpatch errors in minnowmax

This fixes the following issues, with no functional changes:

ERROR:POINTER_LOCATION: "foo * bar" should be "foo *bar"
ERROR:SPACING: space required after that ',' (ctx:VxV)
WARNING:LONG_LINE_COMMENT: line over 80 characters
WARNING:SPACE_BEFORE_TAB: please, no space before tabs
ERROR:FUNCTION_WITHOUT_ARGS: Bad function definition
ERROR:SPACING: space prohibited before that close parenthesis ')'
WARNING:RETURN_VOID: void function return statements are not generally
useful

2 unfixed issues:
ERROR:COMPLEX_MACRO: Macros with complex values should be enclosed in
parentheses

Verified that the binary was the same before and after the changes.

Change-Id: Ie9afb50e268f4140872e39fe8bede231a43d5cc6
Signed-off-by: Martin Roth <gaumless at gmail.com>
Reviewed-on: https://review.coreboot.org/19078
Tested-by: build bot (Jenkins)
Reviewed-by: Werner Zeh <werner.zeh at siemens.com>
Reviewed-by: Sumeet R Pawnikar <sumeet.r.pawnikar at intel.com>
Reviewed-by: Philippe Mathieu-Daudé <philippe.mathieu.daude at gmail.com>
Reviewed-by: York Yang <york.yang at intel.com>
---
M src/mainboard/intel/minnowmax/fadt.c
M src/mainboard/intel/minnowmax/gpio.c
M src/mainboard/intel/minnowmax/irqroute.h
M src/mainboard/intel/minnowmax/romstage.c
4 files changed, 197 insertions(+), 198 deletions(-)

Approvals:
  Werner Zeh: Looks good to me, approved
  Philippe Mathieu-Daudé: Looks good to me, but someone else must approve
  Sumeet R Pawnikar: Looks good to me, approved
  York Yang: Looks good to me, approved
  build bot (Jenkins): Verified

Objections:
  Paul Menzel: I would prefer that you didn't submit this



diff --git a/src/mainboard/intel/minnowmax/fadt.c b/src/mainboard/intel/minnowmax/fadt.c
index 36e4655..cd8eefd 100644
--- a/src/mainboard/intel/minnowmax/fadt.c
+++ b/src/mainboard/intel/minnowmax/fadt.c
@@ -17,11 +17,11 @@
 #include <arch/acpi.h>
 #include <soc/acpi.h>
 
-void acpi_create_fadt(acpi_fadt_t * fadt, acpi_facs_t * facs, void *dsdt)
+void acpi_create_fadt(acpi_fadt_t *fadt, acpi_facs_t *facs, void *dsdt)
 {
 	acpi_header_t *header = &(fadt->header);
 
-	acpi_fill_in_fadt(fadt,facs,dsdt);
+	acpi_fill_in_fadt(fadt, facs, dsdt);
 
 	/* Platform specific customizations go here */
 
diff --git a/src/mainboard/intel/minnowmax/gpio.c b/src/mainboard/intel/minnowmax/gpio.c
index d0f1b1f..b0f78c8 100644
--- a/src/mainboard/intel/minnowmax/gpio.c
+++ b/src/mainboard/intel/minnowmax/gpio.c
@@ -31,189 +31,190 @@
 
 /* NCORE GPIOs */
 static const struct soc_gpio_map gpncore_gpio_map[] = {
-	GPIO_FUNC2,				/* GPIO_S0_NC[00] - HDMI_HPD */
-	GPIO_FUNC2,				/* GPIO_S0_NC[01] - HDMI_DDCDAT */
-	GPIO_FUNC2,				/* GPIO_S0_NC[02] - HDMI_DDCCLK */
-	GPIO_NC,				/* GPIO_S0_NC[03] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[04] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[05] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[06] - No Connect */
-	GPIO_FUNC2,				/* GPIO_S0_NC[07] - DDI1_DDCDAT */
-	GPIO_NC,				/* GPIO_S0_NC[08] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[09] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[10] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[11] - No Connect */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S0_NC[12] - TP15 */
-	GPIO_NC,				/* GPIO_S0_NC[13] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[14] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[15] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[16] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[17] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[18] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[19] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[20] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[21] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[22] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[23] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[24] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[25] - No Connect */
-	GPIO_NC,				/* GPIO_S0_NC[26] - No Connect */
+	GPIO_FUNC2,			// GPIO_S0_NC[00] - HDMI_HPD
+	GPIO_FUNC2,			// GPIO_S0_NC[01] - HDMI_DDCDAT
+	GPIO_FUNC2,			// GPIO_S0_NC[02] - HDMI_DDCCLK
+	GPIO_NC,			// GPIO_S0_NC[03] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[04] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[05] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[06] - No Connect
+	GPIO_FUNC2,			// GPIO_S0_NC[07] - DDI1_DDCDAT
+	GPIO_NC,			// GPIO_S0_NC[08] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[09] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[10] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[11] - No Connect
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S0_NC[12] - TP15
+	GPIO_NC,			// GPIO_S0_NC[13] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[14] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[15] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[16] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[17] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[18] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[19] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[20] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[21] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[22] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[23] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[24] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[25] - No Connect
+	GPIO_NC,			// GPIO_S0_NC[26] - No Connect
 	GPIO_END
 };
 
-/* SCORE GPIOs (GPIO_S0_SC_XX)*/
+/* SCORE GPIOs (GPIO_S0_SC_XX) */
 static const struct soc_gpio_map gpscore_gpio_map[] = {
-	GPIO_FUNC1,				/* GPIO_S0_SC[000] - SATA_GP0 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[001] - SATA_GP1 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[002] - SATA_LED_B */
-	GPIO_FUNC1,				/* GPIO_S0_SC[003] - PCIE_CLKREQ_0 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[004] - PCIE_CLKREQ_1 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[005] - PCIE_CLKREQ_2 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[006] - PCIE_CLKREQ_3 */
-	GPIO_FUNC2,				/* GPIO_S0_SC[007] - SD3_WP */
-	GPIO_NC,				/* GPIO_S0_SC[008] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[009] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[010] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[011] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[012] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[013] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[014] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[015] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[016] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[017] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[018] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[019] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[020] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[021] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[022] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[023] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[024] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[025] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[026] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[027] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[028] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[029] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[030] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[031] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[032] - No Connect */
-	GPIO_FUNC1,				/* GPIO_S0_SC[033] - SD3_CLK */
-	GPIO_FUNC1,				/* GPIO_S0_SC[034] - SD3_D0 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[035] - SD3_D1 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[036] - SD3_D2 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[037] - SD3_D3 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[038] - SD3_CD# */
-	GPIO_FUNC1,				/* GPIO_S0_SC[039] - SD3_CMD */
-	GPIO_FUNC1,				/* GPIO_S0_SC[040] - TP12 (SD3_1P8EN) */
-	GPIO_FUNC1,				/* GPIO_S0_SC[041] - TP11 (/SD3_PWREN) */
-	GPIO_NC,				/* GPIO_S0_SC[042] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[043] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[044] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[045] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[046] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[047] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[048] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[049] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[050] - No Connect */
-	GPIO_FUNC1,				/* GPIO_S0_SC[051] - PCU_SMB_DATA */
-	GPIO_FUNC1,				/* GPIO_S0_SC[052] - PCU_SMB_CLK */
-	GPIO_FUNC1,				/* GPIO_S0_SC[053] - PCU_SMB_ALERT */
-	GPIO_FUNC1,				/* GPIO_S0_SC[054] - ILB_8254_SPKR */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S0_SC[055] - TP8 (GPIO_S0_SC_55) */
-	GPIO_FUNC0,				/* GPIO_S0_SC[056] - GPIO_S0_SC_56 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[057] - PCU_UART3_TXD */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S0_SC[058] - TP9 (GPIO_S0_SC_58) */
-	GPIO_FUNC0,				/* GPIO_S0_SC[059] - HDMI_DCDC_ENB */
-	GPIO_FUNC0,				/* GPIO_S0_SC[060] - HDMI_LDSW_ENB */
-	GPIO_FUNC1,				/* GPIO_S0_SC[061] - PCU_UART3_RXD */
-	GPIO_FUNC1,				/* GPIO_S0_SC[062] - LPE_I2S_CLK */
-	GPIO_FUNC1,				/* GPIO_S0_SC[063] - LPE_I2S_FRM */
-	GPIO_FUNC1,				/* GPIO_S0_SC[064] - LPE_I2S_DATIN */
-	GPIO_FUNC1,				/* GPIO_S0_SC[065] - LPE_I2S_DATOUT */
-	GPIO_FUNC1,				/* GPIO_S0_SC[066] - SOC_SIO_SPI_CS1 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[067] - SOC_SIO_SPI_MISO */
-	GPIO_FUNC1,				/* GPIO_S0_SC[068] - SOC_SIO_SPI_MOSI */
-	GPIO_FUNC1,				/* GPIO_S0_SC[069] - SOC_SIO_SPI_CLK */
-	GPIO_FUNC1,				/* GPIO_S0_SC[070] - SIO_UART1_RXD */
-	GPIO_FUNC1,				/* GPIO_S0_SC[071] - SIO_UART1_TXD */
-	GPIO_FUNC1,				/* GPIO_S0_SC[072] - SIO_UART1_RTSB */
-	GPIO_FUNC1,				/* GPIO_S0_SC[073] - SIO_UART1_CTSB */
-	GPIO_FUNC1,				/* GPIO_S0_SC[074] - SIO_UART2_RXD */
-	GPIO_FUNC1,				/* GPIO_S0_SC[075] - SIO_UART2_TXD */
-	GPIO_NC,				/* GPIO_S0_SC[076] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[077] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[078] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[079] - No Connect */
-	GPIO_FUNC1,				/* GPIO_S0_SC[080] - TP6 (SIO_I2C1_SDA) */
-	GPIO_FUNC1,				/* GPIO_S0_SC[081] - TP5 (SIO_I2C1_SCL) */
-	GPIO_NC,				/* GPIO_S0_SC[082] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[083] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[084] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[085] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[086] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[087] - No Connect */
-	GPIO_FUNC1,				/* GPIO_S0_SC[088] - LSS_I2C_SDA */
-	GPIO_FUNC1,				/* GPIO_S0_SC[089] - LSS_I2C_SCL */
-	GPIO_FUNC1,				/* GPIO_S0_SC[090] - EXP_I2C_SDA */
-	GPIO_FUNC1,				/* GPIO_S0_SC[091] - EXP_I2C_SCL */
-	GPIO_FUNC(1, PULL_UP, 20K),		/* GPIO_S0_SC[092] - TP13 */
-	GPIO_FUNC(1, PULL_UP, 20K),		/* GPIO_S0_SC[093] - TP16 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[094] - SOC_PWM0 */
-	GPIO_FUNC1,				/* GPIO_S0_SC[095] - SOC_PWM1 */
-	GPIO_NC,				/* GPIO_S0_SC[096] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[097] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[098] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[099] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[100] - No Connect */
-	GPIO_NC,				/* GPIO_S0_SC[101] - No Connect */
+	GPIO_FUNC1,			// GPIO_S0_SC[000] - SATA_GP0
+	GPIO_FUNC1,			// GPIO_S0_SC[001] - SATA_GP1
+	GPIO_FUNC1,			// GPIO_S0_SC[002] - SATA_LED_B
+	GPIO_FUNC1,			// GPIO_S0_SC[003] - PCIE_CLKREQ_0
+	GPIO_FUNC1,			// GPIO_S0_SC[004] - PCIE_CLKREQ_1
+	GPIO_FUNC1,			// GPIO_S0_SC[005] - PCIE_CLKREQ_2
+	GPIO_FUNC1,			// GPIO_S0_SC[006] - PCIE_CLKREQ_3
+	GPIO_FUNC2,			// GPIO_S0_SC[007] - SD3_WP
+	GPIO_NC,			// GPIO_S0_SC[008] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[009] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[010] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[011] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[012] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[013] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[014] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[015] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[016] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[017] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[018] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[019] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[020] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[021] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[022] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[023] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[024] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[025] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[026] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[027] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[028] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[029] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[030] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[031] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[032] - No Connect
+	GPIO_FUNC1,			// GPIO_S0_SC[033] - SD3_CLK
+	GPIO_FUNC1,			// GPIO_S0_SC[034] - SD3_D0
+	GPIO_FUNC1,			// GPIO_S0_SC[035] - SD3_D1
+	GPIO_FUNC1,			// GPIO_S0_SC[036] - SD3_D2
+	GPIO_FUNC1,			// GPIO_S0_SC[037] - SD3_D3
+	GPIO_FUNC1,			// GPIO_S0_SC[038] - SD3_CD#
+	GPIO_FUNC1,			// GPIO_S0_SC[039] - SD3_CMD
+	GPIO_FUNC1,			// GPIO_S0_SC[040] - TP12 (SD3_1P8EN)
+	GPIO_FUNC1,			// GPIO_S0_SC[041] - TP11 (/SD3_PWREN)
+	GPIO_NC,			// GPIO_S0_SC[042] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[043] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[044] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[045] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[046] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[047] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[048] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[049] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[050] - No Connect
+	GPIO_FUNC1,			// GPIO_S0_SC[051] - PCU_SMB_DATA
+	GPIO_FUNC1,			// GPIO_S0_SC[052] - PCU_SMB_CLK
+	GPIO_FUNC1,			// GPIO_S0_SC[053] - PCU_SMB_ALERT
+	GPIO_FUNC1,			// GPIO_S0_SC[054] - ILB_8254_SPKR
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S0_SC[055] - TP8 (GPIO_S0_SC_55)
+	GPIO_FUNC0,			// GPIO_S0_SC[056] - GPIO_S0_SC_56
+	GPIO_FUNC1,			// GPIO_S0_SC[057] - PCU_UART3_TXD
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S0_SC[058] - TP9 (GPIO_S0_SC_58)
+	GPIO_FUNC0,			// GPIO_S0_SC[059] - HDMI_DCDC_ENB
+	GPIO_FUNC0,			// GPIO_S0_SC[060] - HDMI_LDSW_ENB
+	GPIO_FUNC1,			// GPIO_S0_SC[061] - PCU_UART3_RXD
+	GPIO_FUNC1,			// GPIO_S0_SC[062] - LPE_I2S_CLK
+	GPIO_FUNC1,			// GPIO_S0_SC[063] - LPE_I2S_FRM
+	GPIO_FUNC1,			// GPIO_S0_SC[064] - LPE_I2S_DATIN
+	GPIO_FUNC1,			// GPIO_S0_SC[065] - LPE_I2S_DATOUT
+	GPIO_FUNC1,			// GPIO_S0_SC[066] - SOC_SIO_SPI_CS1
+	GPIO_FUNC1,			// GPIO_S0_SC[067] - SOC_SIO_SPI_MISO
+	GPIO_FUNC1,			// GPIO_S0_SC[068] - SOC_SIO_SPI_MOSI
+	GPIO_FUNC1,			// GPIO_S0_SC[069] - SOC_SIO_SPI_CLK
+	GPIO_FUNC1,			// GPIO_S0_SC[070] - SIO_UART1_RXD
+	GPIO_FUNC1,			// GPIO_S0_SC[071] - SIO_UART1_TXD
+	GPIO_FUNC1,			// GPIO_S0_SC[072] - SIO_UART1_RTSB
+	GPIO_FUNC1,			// GPIO_S0_SC[073] - SIO_UART1_CTSB
+	GPIO_FUNC1,			// GPIO_S0_SC[074] - SIO_UART2_RXD
+	GPIO_FUNC1,			// GPIO_S0_SC[075] - SIO_UART2_TXD
+	GPIO_NC,			// GPIO_S0_SC[076] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[077] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[078] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[079] - No Connect
+	GPIO_FUNC1,			// GPIO_S0_SC[080] - TP6 (SIO_I2C1_SDA)
+	GPIO_FUNC1,			// GPIO_S0_SC[081] - TP5 (SIO_I2C1_SCL)
+	GPIO_NC,			// GPIO_S0_SC[082] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[083] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[084] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[085] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[086] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[087] - No Connect
+	GPIO_FUNC1,			// GPIO_S0_SC[088] - LSS_I2C_SDA
+	GPIO_FUNC1,			// GPIO_S0_SC[089] - LSS_I2C_SCL
+	GPIO_FUNC1,			// GPIO_S0_SC[090] - EXP_I2C_SDA
+	GPIO_FUNC1,			// GPIO_S0_SC[091] - EXP_I2C_SCL
+	GPIO_FUNC(1, PULL_UP, 20K),	// GPIO_S0_SC[092] - TP13
+	GPIO_FUNC(1, PULL_UP, 20K),	// GPIO_S0_SC[093] - TP16
+	GPIO_FUNC1,			// GPIO_S0_SC[094] - SOC_PWM0
+	GPIO_FUNC1,			// GPIO_S0_SC[095] - SOC_PWM1
+	GPIO_NC,			// GPIO_S0_SC[096] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[097] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[098] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[099] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[100] - No Connect
+	GPIO_NC,			// GPIO_S0_SC[101] - No Connect
 	GPIO_END
 };
 
 /* SSUS GPIOs (GPIO_S5) */
 static const struct soc_gpio_map gpssus_gpio_map[] = {
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[00] - SOC_GPIO_S5_0 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[01] - SOC_GPIO_S5_1 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[02] - SOC_GPIO_S5_2 */
-	GPIO_FUNC6,				/* GPIO_S5[03] - mPCIE_WAKEB */
-	GPIO_NC,				/* GPIO_S5[04] - No Connect */
-	GPIO_INPUT,				/* GPIO_S5[05] - BOM_OP1 - Memory: 0=1GB 1=2GB or 4GB*/
-	GPIO_INPUT,				/* GPIO_S5[06] - BOM_OP2 */
-	GPIO_INPUT,				/* GPIO_S5[07] - BOM_OP3 */
-	GPIO_OUT_HIGH_LEGACY,			/* GPIO_S5[08] - SOC_USB_HOST_EN0 */
-	GPIO_OUT_HIGH_LEGACY,			/* GPIO_S5[09] - SOC_USB_HOST_EN1 */
-	GPIO_OUT_HIGH_LEGACY,			/* GPIO_S5[10] - GPIO_S5_10_UNLOCK */
-	GPIO_FUNC0,				/* GPIO_S5[11] - SUSPWRDNACK (TP14) */
-	GPIO_FUNC0,				/* GPIO_S5[12] - PMC_SUSCLK0 */
-	GPIO_FUNC1,				/* GPIO_S5[13] - PMC_SLP_S0IX (TP10) */
-	GPIO_FUNC1,				/* GPIO_S5[14] - GPIO_S514_J20 */
-	GPIO_FUNC0,				/* GPIO_S5[15] - PMC_PCIE_WAKE_R */
-	GPIO_FUNC0,				/* GPIO_S5[16] - PMC_PWRBTN */
-	GPIO_NC,				/* GPIO_S5[17] - No Connect */
-	GPIO_FUNC1,				/* GPIO_S5[18] - LPCPD_L (TP7) */
-	GPIO_FUNC0,				/* GPIO_S5[19] - SOC_USB_HOST_OC0 */
-	GPIO_FUNC0,				/* GPIO_S5[20] - SOC_USB_HOST_OC1 */
-	GPIO_FUNC0,				/* GPIO_S5[21] - SOC_SPI_CS1B */
-	GPIO_INPUT_PD,				/* GPIO_S5[22] - NC or LED D2 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[23] - XDP_H_OBSDATA_A0 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[24] - XDP_H_OBSDATA_A1 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[25] - XDP_H_OBSDATA_A2 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[26] - XDP_H_OBSDATA_A3 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[27] - EXP_GPIO1 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[28] - EXP_GPIO2 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[29] - EXP_GPIO3 */
-	GPIO_FUNC(0, PULL_UP, 20K),		/* GPIO_S5[30] - EXP_GPIO4 */
-	GPIO_NC,				/* GPIO_S5[31] - No Connect */
-	GPIO_NC,				/* GPIO_S5[32] - No Connect */
-	GPIO_NC,				/* GPIO_S5[33] - No Connect */
-	GPIO_NC,				/* GPIO_S5[34] - No Connect */
-	GPIO_NC,				/* GPIO_S5[35] - No Connect */
-	GPIO_NC,				/* GPIO_S5[36] - No Connect */
-	GPIO_NC,				/* GPIO_S5[37] - No Connect */
-	GPIO_NC,				/* GPIO_S5[38] - No Connect */
-	GPIO_NC,				/* GPIO_S5[39] - No Connect */
-	GPIO_NC,				/* GPIO_S5[40] - No Connect */
-	GPIO_NC,				/* GPIO_S5[41] - No Connect */
-	GPIO_NC,				/* GPIO_S5[42] - No Connect */
-	GPIO_NC,				/* GPIO_S5[43] - No Connect */
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[00] - SOC_GPIO_S5_0
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[01] - SOC_GPIO_S5_1
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[02] - SOC_GPIO_S5_2
+	GPIO_FUNC6,			// GPIO_S5[03] - mPCIE_WAKEB
+	GPIO_NC,			// GPIO_S5[04] - No Connect
+	GPIO_INPUT,			// GPIO_S5[05] - BOM_OP1
+					// Memory: 0=1GB 1=2GB or 4GB
+	GPIO_INPUT,			// GPIO_S5[06] - BOM_OP2
+	GPIO_INPUT,			// GPIO_S5[07] - BOM_OP3
+	GPIO_OUT_HIGH_LEGACY,		// GPIO_S5[08] - SOC_USB_HOST_EN0
+	GPIO_OUT_HIGH_LEGACY,		// GPIO_S5[09] - SOC_USB_HOST_EN1
+	GPIO_OUT_HIGH_LEGACY,		// GPIO_S5[10] - GPIO_S5_10_UNLOCK
+	GPIO_FUNC0,			// GPIO_S5[11] - SUSPWRDNACK (TP14)
+	GPIO_FUNC0,			// GPIO_S5[12] - PMC_SUSCLK0
+	GPIO_FUNC1,			// GPIO_S5[13] - PMC_SLP_S0IX (TP10)
+	GPIO_FUNC1,			// GPIO_S5[14] - GPIO_S514_J20
+	GPIO_FUNC0,			// GPIO_S5[15] - PMC_PCIE_WAKE_R
+	GPIO_FUNC0,			// GPIO_S5[16] - PMC_PWRBTN
+	GPIO_NC,			// GPIO_S5[17] - No Connect
+	GPIO_FUNC1,			// GPIO_S5[18] - LPCPD_L (TP7)
+	GPIO_FUNC0,			// GPIO_S5[19] - SOC_USB_HOST_OC0
+	GPIO_FUNC0,			// GPIO_S5[20] - SOC_USB_HOST_OC1
+	GPIO_FUNC0,			// GPIO_S5[21] - SOC_SPI_CS1B
+	GPIO_INPUT_PD,			// GPIO_S5[22] - NC or LED D2
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[23] - XDP_H_OBSDATA_A0
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[24] - XDP_H_OBSDATA_A1
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[25] - XDP_H_OBSDATA_A2
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[26] - XDP_H_OBSDATA_A3
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[27] - EXP_GPIO1
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[28] - EXP_GPIO2
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[29] - EXP_GPIO3
+	GPIO_FUNC(0, PULL_UP, 20K),	// GPIO_S5[30] - EXP_GPIO4
+	GPIO_NC,			// GPIO_S5[31] - No Connect
+	GPIO_NC,			// GPIO_S5[32] - No Connect
+	GPIO_NC,			// GPIO_S5[33] - No Connect
+	GPIO_NC,			// GPIO_S5[34] - No Connect
+	GPIO_NC,			// GPIO_S5[35] - No Connect
+	GPIO_NC,			// GPIO_S5[36] - No Connect
+	GPIO_NC,			// GPIO_S5[37] - No Connect
+	GPIO_NC,			// GPIO_S5[38] - No Connect
+	GPIO_NC,			// GPIO_S5[39] - No Connect
+	GPIO_NC,			// GPIO_S5[40] - No Connect
+	GPIO_NC,			// GPIO_S5[41] - No Connect
+	GPIO_NC,			// GPIO_S5[42] - No Connect
+	GPIO_NC,			// GPIO_S5[43] - No Connect
 	GPIO_END
 };
 
@@ -225,7 +226,7 @@
 	.sus_dirq = NULL,
 };
 
-struct soc_gpio_config* mainboard_get_gpios(void)
+struct soc_gpio_config *mainboard_get_gpios(void)
 {
 	return &gpio_config;
 }
diff --git a/src/mainboard/intel/minnowmax/irqroute.h b/src/mainboard/intel/minnowmax/irqroute.h
index 0b194aa..20281b7 100644
--- a/src/mainboard/intel/minnowmax/irqroute.h
+++ b/src/mainboard/intel/minnowmax/irqroute.h
@@ -21,25 +21,25 @@
 #include <soc/intel/fsp_baytrail/include/soc/pci_devs.h>
 
 /*
- *IR02h GFX      INT(A) 	- PIRQ A
- *IR10h EMMC	 INT(ABCD)	- PIRQ DEFG
- *IR11h SDIO     INT(A) 	- PIRQ B
- *IR12h SD       INT(A) 	- PIRQ C
- *IR13h SATA     INT(A) 	- PIRQ D
- *IR14h XHCI     INT(A) 	- PIRQ E
- *IR15h LP Audio INT(A) 	- PIRQ F
- *IR17h MMC      INT(A) 	- PIRQ F
- *IR18h SIO      INT(ABCD)	- PIRQ BADC
- *IR1Ah TXE      INT(A)		- PIRQ F
- *IR1Bh HD Audio INT(A)		- PIRQ G
- *IR1Ch PCIe     INT(ABCD)	- PIRQ EFGH
- *IR1Dh EHCI     INT(A)		- PIRQ D
- *IR1Eh SIO      INT(ABCD)	- PIRQ BDEF
- *IR1Fh LPC      INT(ABCD)	- PIRQ HGBC
+ *IR02h GFX      INT(A)     - PIRQ A
+ *IR10h EMMC     INT(ABCD)  - PIRQ DEFG
+ *IR11h SDIO     INT(A)     - PIRQ B
+ *IR12h SD       INT(A)     - PIRQ C
+ *IR13h SATA     INT(A)     - PIRQ D
+ *IR14h XHCI     INT(A)     - PIRQ E
+ *IR15h LP Audio INT(A)     - PIRQ F
+ *IR17h MMC      INT(A)     - PIRQ F
+ *IR18h SIO      INT(ABCD)  - PIRQ BADC
+ *IR1Ah TXE      INT(A)     - PIRQ F
+ *IR1Bh HD Audio INT(A)     - PIRQ G
+ *IR1Ch PCIe     INT(ABCD)  - PIRQ EFGH
+ *IR1Dh EHCI     INT(A)     - PIRQ D
+ *IR1Eh SIO      INT(ABCD)  - PIRQ BDEF
+ *IR1Fh LPC      INT(ABCD)  - PIRQ HGBC
  */
 
 /* PCIe bridge routing */
-#define BRIDGE1_DEV	PCIE_DEV
+#define BRIDGE1_DEV PCIE_DEV
 
 /* PCI bridge IRQs need to be updated in both tables and need to match */
 #define PCIE_BRIDGE_IRQ_ROUTES \
diff --git a/src/mainboard/intel/minnowmax/romstage.c b/src/mainboard/intel/minnowmax/romstage.c
index 7ee4f21..6f40207 100644
--- a/src/mainboard/intel/minnowmax/romstage.c
+++ b/src/mainboard/intel/minnowmax/romstage.c
@@ -26,7 +26,7 @@
  * /brief mainboard call for setup that needs to be done before fsp init
  *
  */
-void early_mainboard_romstage_entry()
+void early_mainboard_romstage_entry(void)
 {
 
 }
@@ -45,7 +45,7 @@
  * /brief mainboard call for setup that needs to be done after fsp init
  *
  */
-void late_mainboard_romstage_entry()
+void late_mainboard_romstage_entry(void)
 {
 
 }
@@ -70,7 +70,7 @@
 		UpdData->PcdMemoryParameters.DIMMDensity
 		+= (DIMM_DENSITY_4G_BIT - DIMM_DENSITY_2G_BIT);
 	printk(BIOS_NOTICE, "%s GB Minnowboard Max detected.\n",
-			gpio5 ? "2 / 4" : "1" );
+			gpio5 ? "2 / 4" : "1");
 	/* Update XHCI UPD value if required */
 	get_option(&use_xhci, "use_xhci_over_ehci");
 	if ((use_xhci < 2) && (use_xhci != UpdData->PcdEnableXhci)) {
@@ -78,6 +78,4 @@
 		printk(FSP_INFO_LEVEL, "Xhci updated from CMOS:\t\t\t%s\n",
 			UpdData->PcdEnableXhci?"Enabled":"Disabled");
 	}
-
-	return;
 }

-- 
To view, visit https://review.coreboot.org/19078
To unsubscribe, visit https://review.coreboot.org/settings

Gerrit-MessageType: merged
Gerrit-Change-Id: Ie9afb50e268f4140872e39fe8bede231a43d5cc6
Gerrit-PatchSet: 3
Gerrit-Project: coreboot
Gerrit-Branch: master
Gerrit-Owner: Martin Roth <martinroth at google.com>
Gerrit-Reviewer: Huang Jin <huang.jin at intel.com>
Gerrit-Reviewer: Martin Roth <martinroth at google.com>
Gerrit-Reviewer: Patrick Georgi <pgeorgi at google.com>
Gerrit-Reviewer: Paul Menzel <paulepanter at users.sourceforge.net>
Gerrit-Reviewer: Philippe Mathieu-Daudé <philippe.mathieu.daude at gmail.com>
Gerrit-Reviewer: Sumeet R Pawnikar <sumeet.r.pawnikar at intel.com>
Gerrit-Reviewer: Werner Zeh <werner.zeh at siemens.com>
Gerrit-Reviewer: York Yang <york.yang at intel.com>
Gerrit-Reviewer: build bot (Jenkins)



More information about the coreboot-gerrit mailing list