[coreboot-gerrit] New patch to review for coreboot: 0e02290 NOTFORMERGE: Partial Sandy/Ivy bridge _native_ raminit

Damien Zammit (damien@zamaudio.com) gerrit at coreboot.org
Fri May 9 11:06:21 CEST 2014


Damien Zammit (damien at zamaudio.com) just uploaded a new patch set to gerrit, which you can find at http://review.coreboot.org/5707

-gerrit

commit 0e02290dee15d8d1d98d36dd8ebfb11ac04711b4
Author: Damien Zammit <damien at zamaudio.com>
Date:   Fri May 9 18:33:52 2014 +1000

    NOTFORMERGE: Partial Sandy/Ivy bridge _native_ raminit
    
    This is a draft of my attempts to reverse engineer raminit
    for sandy/ivy.  It is not complete because I am struggling
    to do the memory training.
    
    Gigabyte GA-B75M-D3H mainboard preliminary support is provided
    as this is my testing hardware for the raminit.
    
    There are a few extra files in this commit that should be cleaned
    up in the mainboard directory because I am not really doing ramstage
    yet but I copied them from from another board.
    
    Change-Id: I8dc1a25007bf95f100c21fec49f0c733e7f4f2bc
    Signed-off-by: Damien Zammit <damien at zamaudio.com>
---
 3rdparty                                           |     2 +-
 config-d3h-raminit-native                          |   446 +
 config-d3h-withmrc                                 |   455 +
 d3h-dsdt                                           |   Bin 0 -> 39972 bytes
 d3h-dsdt.dsl                                       | 11661 +++++++++++++++++++
 d3h-inteldump                                      |   838 ++
 d3h-lspci                                          |   127 +
 d3h-superiodump                                    |    42 +
 output.txt                                         |   392 +
 src/cpu/intel/Kconfig                              |     1 +
 src/cpu/intel/Makefile.inc                         |     2 +-
 src/cpu/intel/socket_LGA1155/Kconfig               |    16 +
 src/cpu/intel/socket_LGA1155/Makefile.inc          |    10 +
 src/cpu/intel/socket_LGA1155/socket_LGA1155.c      |     5 +
 src/cpu/x86/smm/smmhandler_tseg.S                  |     3 +
 src/cpu/x86/smm/smmrelocate.S                      |     3 +
 src/device/dram/ddr3.c                             |     8 +-
 src/include/device/dram/ddr3.h                     |     3 +
 src/mainboard/gigabyte/Kconfig                     |     3 +
 src/mainboard/gigabyte/ga-b75m-d3h/Kconfig         |    84 +
 src/mainboard/gigabyte/ga-b75m-d3h/Makefile.inc    |    26 +
 src/mainboard/gigabyte/ga-b75m-d3h/acpi/ec.asl     |     1 +
 .../gigabyte/ga-b75m-d3h/acpi/mainboard.asl        |    28 +
 .../gigabyte/ga-b75m-d3h/acpi/platform.asl         |    73 +
 .../ga-b75m-d3h/acpi/sandybridge_pci_irqs.asl      |    73 +
 .../gigabyte/ga-b75m-d3h/acpi/superio.asl          |    24 +
 .../gigabyte/ga-b75m-d3h/acpi/thermal.asl          |    65 +
 src/mainboard/gigabyte/ga-b75m-d3h/acpi/video.asl  |    43 +
 src/mainboard/gigabyte/ga-b75m-d3h/acpi_tables.c   |   262 +
 src/mainboard/gigabyte/ga-b75m-d3h/cmos.default    |     2 +
 src/mainboard/gigabyte/ga-b75m-d3h/cmos.layout     |   140 +
 src/mainboard/gigabyte/ga-b75m-d3h/devicetree.cb   |   115 +
 src/mainboard/gigabyte/ga-b75m-d3h/dsdt.asl        |    54 +
 src/mainboard/gigabyte/ga-b75m-d3h/early_smbus.c   |    62 +
 src/mainboard/gigabyte/ga-b75m-d3h/fadt.c          |   166 +
 src/mainboard/gigabyte/ga-b75m-d3h/gpio.h          |   272 +
 src/mainboard/gigabyte/ga-b75m-d3h/i915.c          |   279 +
 src/mainboard/gigabyte/ga-b75m-d3h/i915_reg.h      |  3878 ++++++
 src/mainboard/gigabyte/ga-b75m-d3h/i915io.c        |  3143 +++++
 src/mainboard/gigabyte/ga-b75m-d3h/mainboard.c     |   188 +
 src/mainboard/gigabyte/ga-b75m-d3h/mainboard_smi.c |   109 +
 src/mainboard/gigabyte/ga-b75m-d3h/onboard.h       |    33 +
 src/mainboard/gigabyte/ga-b75m-d3h/romstage.c      |   245 +
 src/mainboard/gigabyte/ga-b75m-d3h/smbus_hack.h    |    15 +
 src/mainboard/gigabyte/ga-b75m-d3h/thermal.h       |    15 +
 src/northbridge/intel/Kconfig                      |     1 +
 src/northbridge/intel/Makefile.inc                 |     1 +
 src/northbridge/intel/sandybridge/early_init.c     |     4 +-
 src/northbridge/intel/sandyivy-native/Kconfig      |    52 +
 src/northbridge/intel/sandyivy-native/Makefile.inc |    37 +
 src/northbridge/intel/sandyivy-native/acpi.c       |   202 +
 .../intel/sandyivy-native/acpi/hostbridge.asl      |   385 +
 src/northbridge/intel/sandyivy-native/acpi/igd.asl |   341 +
 .../intel/sandyivy-native/acpi/sandybridge.asl     |    59 +
 src/northbridge/intel/sandyivy-native/bootblock.c  |    26 +
 src/northbridge/intel/sandyivy-native/chip.h       |    42 +
 src/northbridge/intel/sandyivy-native/early_init.c |   173 +
 .../intel/sandyivy-native/early_smbus.c            |    42 +
 .../intel/sandyivy-native/early_smbus.h            |    11 +
 src/northbridge/intel/sandyivy-native/finalize.c   |    56 +
 src/northbridge/intel/sandyivy-native/gma.c        |   693 ++
 src/northbridge/intel/sandyivy-native/gma.h        |   168 +
 .../intel/sandyivy-native/northbridge.c            |   506 +
 src/northbridge/intel/sandyivy-native/ram_calc.c   |    31 +
 src/northbridge/intel/sandyivy-native/raminit.c    |  1716 +++
 src/northbridge/intel/sandyivy-native/raminit.h    |   156 +
 .../intel/sandyivy-native/report_platform.c        |   134 +
 .../intel/sandyivy-native/sandybridge.h            |   242 +
 src/northbridge/intel/sandyivy-native/udelay.c     |    55 +
 src/southbridge/intel/bd82x6x/early_smbus.c        |     2 +-
 src/southbridge/intel/bd82x6x/smi.c                |     4 +
 71 files changed, 28543 insertions(+), 8 deletions(-)

diff --git a/3rdparty b/3rdparty
index 324ec3c..aebd218 160000
--- a/3rdparty
+++ b/3rdparty
@@ -1 +1 @@
-Subproject commit 324ec3cb642a278d6d97ae809bc6098045bc6e65
+Subproject commit aebd21811dc9c9a171e629150d9d8a239a8b0338
diff --git a/config-d3h-raminit-native b/config-d3h-raminit-native
new file mode 100644
index 0000000..c7f5b26
--- /dev/null
+++ b/config-d3h-raminit-native
@@ -0,0 +1,446 @@
+#
+# Automatically generated make config: don't edit
+# coreboot version: 4.0-5884-ge94b53f-dirty
+# Tue May  6 04:53:32 2014
+#
+
+#
+# General setup
+#
+CONFIG_EXPERT=y
+CONFIG_LOCALVERSION="zam"
+CONFIG_CBFS_PREFIX="fallback"
+CONFIG_COMPILER_GCC=y
+# CONFIG_COMPILER_LLVM_CLANG is not set
+# CONFIG_ANY_TOOLCHAIN is not set
+# CONFIG_SCANBUILD_ENABLE is not set
+# CONFIG_CCACHE is not set
+# CONFIG_SCONFIG_GENPARSER is not set
+# CONFIG_USE_OPTION_TABLE is not set
+CONFIG_COMPRESS_RAMSTAGE=y
+CONFIG_INCLUDE_CONFIG_FILE=y
+# CONFIG_EARLY_CBMEM_INIT is not set
+CONFIG_BROKEN_CAR_MIGRATE=y
+# CONFIG_DYNAMIC_CBMEM is not set
+# CONFIG_COLLECT_TIMESTAMPS is not set
+# CONFIG_USE_BLOBS is not set
+# CONFIG_COVERAGE is not set
+
+#
+# Mainboard
+#
+# CONFIG_VENDOR_AAEON is not set
+# CONFIG_VENDOR_ABIT is not set
+# CONFIG_VENDOR_ADLINK is not set
+# CONFIG_VENDOR_ADVANSUS is not set
+# CONFIG_VENDOR_ADVANTECH is not set
+# CONFIG_VENDOR_AMD is not set
+# CONFIG_VENDOR_AOPEN is not set
+# CONFIG_VENDOR_ARIMA is not set
+# CONFIG_VENDOR_ARTECGROUP is not set
+# CONFIG_VENDOR_ASI is not set
+# CONFIG_VENDOR_ASROCK is not set
+# CONFIG_VENDOR_ASUS is not set
+# CONFIG_VENDOR_A_TREND is not set
+# CONFIG_VENDOR_AVALUE is not set
+# CONFIG_VENDOR_AXUS is not set
+# CONFIG_VENDOR_AZZA is not set
+# CONFIG_VENDOR_BACHMANN is not set
+# CONFIG_VENDOR_BCOM is not set
+# CONFIG_VENDOR_BIFFEROS is not set
+# CONFIG_VENDOR_BIOSTAR is not set
+# CONFIG_VENDOR_BROADCOM is not set
+# CONFIG_VENDOR_COMPAQ is not set
+# CONFIG_VENDOR_CUBIETECH is not set
+# CONFIG_VENDOR_DIGITALLOGIC is not set
+# CONFIG_VENDOR_DMP is not set
+# CONFIG_VENDOR_EAGLELION is not set
+# CONFIG_VENDOR_ECS is not set
+# CONFIG_VENDOR_EMULATION is not set
+# CONFIG_VENDOR_GETAC is not set
+CONFIG_VENDOR_GIGABYTE=y
+# CONFIG_VENDOR_GIZMOSPHERE is not set
+# CONFIG_VENDOR_GOOGLE is not set
+# CONFIG_VENDOR_HP is not set
+# CONFIG_VENDOR_IBASE is not set
+# CONFIG_VENDOR_IBM is not set
+# CONFIG_VENDOR_IEI is not set
+# CONFIG_VENDOR_INTEL is not set
+# CONFIG_VENDOR_IWAVE is not set
+# CONFIG_VENDOR_IWILL is not set
+# CONFIG_VENDOR_JETWAY is not set
+# CONFIG_VENDOR_KONTRON is not set
+# CONFIG_VENDOR_LANNER is not set
+# CONFIG_VENDOR_LENOVO is not set
+# CONFIG_VENDOR_LINUTOP is not set
+# CONFIG_VENDOR_LIPPERT is not set
+# CONFIG_VENDOR_MITAC is not set
+# CONFIG_VENDOR_MSI is not set
+# CONFIG_VENDOR_NEC is not set
+# CONFIG_VENDOR_NEWISYS is not set
+# CONFIG_VENDOR_NOKIA is not set
+# CONFIG_VENDOR_NVIDIA is not set
+# CONFIG_VENDOR_PACKARDBELL is not set
+# CONFIG_VENDOR_PCENGINES is not set
+# CONFIG_VENDOR_RCA is not set
+# CONFIG_VENDOR_RODA is not set
+# CONFIG_VENDOR_SAMSUNG is not set
+# CONFIG_VENDOR_SIEMENS is not set
+# CONFIG_VENDOR_SOYO is not set
+# CONFIG_VENDOR_SUNW is not set
+# CONFIG_VENDOR_SUPERMICRO is not set
+# CONFIG_VENDOR_TECHNEXION is not set
+# CONFIG_VENDOR_TECHNOLOGIC is not set
+# CONFIG_VENDOR_TELEVIDEO is not set
+# CONFIG_VENDOR_TI is not set
+# CONFIG_VENDOR_THOMSON is not set
+# CONFIG_VENDOR_TRAVERSE is not set
+# CONFIG_VENDOR_TYAN is not set
+# CONFIG_VENDOR_VIA is not set
+# CONFIG_VENDOR_WINENT is not set
+# CONFIG_VENDOR_WYSE is not set
+CONFIG_BOARD_SPECIFIC_OPTIONS=y
+CONFIG_MAINBOARD_DIR="gigabyte/ga-b75m-d3h"
+CONFIG_MAINBOARD_PART_NUMBER="GA-B75M-D3H"
+CONFIG_IRQ_SLOT_COUNT=18
+CONFIG_MAINBOARD_VENDOR="GIGABYTE"
+CONFIG_MAX_CPUS=8
+CONFIG_RAMTOP=0x200000
+CONFIG_HEAP_SIZE=0x4000
+CONFIG_RAMBASE=0x100000
+CONFIG_VGA_BIOS_ID="8086,0106"
+CONFIG_DRIVERS_PS2_KEYBOARD=y
+# CONFIG_ONBOARD_VGA_IS_PRIMARY is not set
+# CONFIG_VGA_BIOS is not set
+# CONFIG_UDELAY_IO is not set
+CONFIG_DCACHE_RAM_BASE=0xff7e0000
+CONFIG_DCACHE_RAM_SIZE=0x20000
+# CONFIG_SERIAL_CPU_INIT is not set
+CONFIG_ACPI_SSDTX_NUM=0
+CONFIG_VGA_BIOS_FILE="pci8086,0102.rom"
+# CONFIG_PCI_64BIT_PREF_MEM is not set
+CONFIG_UART_FOR_CONSOLE=0
+CONFIG_ID_SECTION_OFFSET=0x80
+CONFIG_STACK_SIZE=0x1000
+# CONFIG_BOARD_GIGABYTE_GA_2761GXDK is not set
+# CONFIG_BOARD_GIGABYTE_GA_6BXC is not set
+# CONFIG_BOARD_GIGABYTE_GA_6BXE is not set
+CONFIG_BOARD_GIGABYTE_GA_B75M_D3H=y
+# CONFIG_BOARD_GIGABYTE_M57SLI is not set
+# CONFIG_BOARD_GIGABYTE_MA785GMT is not set
+# CONFIG_BOARD_GIGABYTE_MA785GM is not set
+# CONFIG_BOARD_GIGABYTE_MA78GM is not set
+CONFIG_HAVE_IFD_BIN=y
+CONFIG_HAVE_ME_BIN=y
+# CONFIG_HAVE_GBE_BIN is not set
+CONFIG_IFD_BIOS_SECTION="0x00600000:0x007fffff"
+CONFIG_IFD_ME_SECTION="0x00001000:0x004fffff"
+CONFIG_IFD_GBE_SECTION="0x00fff000:0x00000fff"
+CONFIG_MMCONF_SUPPORT_DEFAULT=y
+# CONFIG_VGA is not set
+CONFIG_SEABIOS_PS2_TIMEOUT=0
+CONFIG_DRAM_RESET_GATE_GPIO=60
+CONFIG_CPU_ADDR_BITS=32
+CONFIG_CACHE_ROM_SIZE_OVERRIDE=0
+CONFIG_LOGICAL_CPUS=y
+CONFIG_DEFAULT_CONSOLE_LOGLEVEL=8
+# CONFIG_USBDEBUG is not set
+CONFIG_BOARD_ROMSIZE_KB_8192=y
+# CONFIG_COREBOOT_ROMSIZE_KB_64 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_128 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_256 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_512 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_1024 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_2048 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_4096 is not set
+CONFIG_COREBOOT_ROMSIZE_KB_8192=y
+# CONFIG_COREBOOT_ROMSIZE_KB_12288 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_16384 is not set
+CONFIG_COREBOOT_ROMSIZE_KB=8192
+CONFIG_ROM_SIZE=0x800000
+CONFIG_ARCH_X86=y
+# CONFIG_ARCH_ARMV7 is not set
+
+#
+# Architecture (x86)
+#
+CONFIG_X86_ARCH_OPTIONS=y
+# CONFIG_AP_IN_SIPI_WAIT is not set
+# CONFIG_SIPI_VECTOR_IN_ROM is not set
+CONFIG_MAX_REBOOT_CNT=3
+CONFIG_NUM_IPI_STARTS=2
+CONFIG_X86_BOOTBLOCK_SIMPLE=y
+# CONFIG_X86_BOOTBLOCK_NORMAL is not set
+CONFIG_BOOTBLOCK_SOURCE="bootblock_simple.c"
+# CONFIG_UPDATE_IMAGE is not set
+# CONFIG_ROMCC is not set
+CONFIG_PC80_SYSTEM=y
+CONFIG_HAVE_CMOS_DEFAULT=y
+CONFIG_CMOS_DEFAULT_FILE="src/mainboard/$(MAINBOARDDIR)/cmos.default"
+CONFIG_BOOTBLOCK_SOUTHBRIDGE_INIT="southbridge/intel/bd82x6x/bootblock.c"
+CONFIG_IOAPIC_INTERRUPTS_ON_FSB=y
+# CONFIG_IOAPIC_INTERRUPTS_ON_APIC_SERIAL_BUS is not set
+CONFIG_HPET_ADDRESS=0xfed00000
+CONFIG_HAVE_ARCH_MEMSET=y
+CONFIG_HAVE_ARCH_MEMCPY=y
+CONFIG_HAVE_ARCH_MEMMOVE=y
+# CONFIG_MAINBOARD_HAS_CHROMEOS is not set
+
+#
+# Chipset
+#
+
+#
+# CPU
+#
+# CONFIG_CPU_ALLWINNER_A10 is not set
+CONFIG_CPU_SPECIFIC_OPTIONS=y
+CONFIG_BOOTBLOCK_CPU_INIT="cpu/intel/model_206ax/bootblock.c"
+# CONFIG_CPU_ARMLTD_CORTEX_A9 is not set
+# CONFIG_CPU_SAMSUNG_EXYNOS5250 is not set
+# CONFIG_CPU_SAMSUNG_EXYNOS5420 is not set
+# CONFIG_CPU_TI_AM335X is not set
+CONFIG_SOCKET_SPECIFIC_OPTIONS=y
+CONFIG_XIP_ROM_SIZE=0x10000
+# CONFIG_CPU_AMD_AGESA is not set
+CONFIG_HIGH_SCRATCH_MEMORY_SIZE=0x0
+CONFIG_CPU_INTEL_MODEL_206AX=y
+CONFIG_SMM_TSEG_SIZE=0x800000
+# CONFIG_ENABLE_VMX is not set
+CONFIG_SSE2=y
+CONFIG_CPU_INTEL_SOCKET_LGA1155=y
+# CONFIG_CACHE_MRC_BIN is not set
+# CONFIG_CPU_INTEL_FIRMWARE_INTERFACE_TABLE is not set
+# CONFIG_CPU_INTEL_TURBO_NOT_PACKAGE_SCOPED is not set
+CONFIG_UDELAY_LAPIC=y
+# CONFIG_LAPIC_MONOTONIC_TIMER is not set
+# CONFIG_UDELAY_TSC is not set
+# CONFIG_UDELAY_TIMER2 is not set
+# CONFIG_TSC_CALIBRATE_WITH_IO is not set
+# CONFIG_TSC_SYNC_LFENCE is not set
+CONFIG_TSC_SYNC_MFENCE=y
+CONFIG_SMM_TSEG=y
+# CONFIG_SMM_MODULES is not set
+# CONFIG_X86_AMD_FIXED_MTRRS is not set
+# CONFIG_PARALLEL_MP is not set
+# CONFIG_BACKUP_DEFAULT_SMM_REGION is not set
+# CONFIG_MIRROR_PAYLOAD_TO_RAM_BEFORE_LOADING is not set
+CONFIG_CACHE_AS_RAM=y
+CONFIG_SMP=y
+CONFIG_AP_SIPI_VECTOR=0xfffff000
+CONFIG_MMX=y
+CONFIG_SSE=y
+CONFIG_SUPPORT_CPU_UCODE_IN_CBFS=y
+CONFIG_CPU_MICROCODE_ADDED_DURING_BUILD=y
+CONFIG_CPU_MICROCODE_CBFS_GENERATE=y
+# CONFIG_CPU_MICROCODE_CBFS_EXTERNAL is not set
+# CONFIG_CPU_MICROCODE_CBFS_NONE is not set
+
+#
+# Northbridge
+#
+CONFIG_VIDEO_MB=0
+# CONFIG_NORTHBRIDGE_AMD_AGESA is not set
+# CONFIG_AMD_NB_CIMX is not set
+# CONFIG_NORTHBRIDGE_AMD_CIMX_RD890 is not set
+CONFIG_CBFS_SIZE=0x200000
+CONFIG_NORTHBRIDGE_INTEL_SANDYIVY_NATIVE=y
+CONFIG_HPET_MIN_TICKS=0x80
+CONFIG_MAX_PIRQ_LINKS=4
+
+#
+# Southbridge
+#
+CONFIG_EHCI_BAR=0xfef00000
+# CONFIG_AMD_SB_CIMX is not set
+# CONFIG_SOUTHBRIDGE_AMD_CIMX_SB800 is not set
+# CONFIG_SOUTHBRIDGE_AMD_CIMX_SB900 is not set
+CONFIG_SOUTHBRIDGE_INTEL_COMMON=y
+CONFIG_SOUTHBRIDGE_INTEL_C216=y
+CONFIG_SOUTH_BRIDGE_OPTIONS=y
+# CONFIG_SERIRQ_CONTINUOUS_MODE is not set
+# CONFIG_BUILD_WITH_FAKE_IFD is not set
+CONFIG_IFD_BIN_PATH="/home/damien/git/giga/desc_d3h.bin"
+CONFIG_ME_BIN_PATH="/home/damien/git/giga/me_d3h.bin"
+# CONFIG_LOCK_MANAGEMENT_ENGINE is not set
+# CONFIG_LOCK_SPI_ON_RESUME is not set
+
+#
+# Super I/O
+#
+CONFIG_SUPERIO_ITE_IT8728F=y
+
+#
+# Embedded Controllers
+#
+
+#
+# SoC
+#
+
+#
+# Devices
+#
+# CONFIG_MAINBOARD_HAS_NATIVE_VGA_INIT is not set
+# CONFIG_MAINBOARD_HAS_NATIVE_VGA_INIT_TEXTMODECFG is not set
+# CONFIG_VGA_ROM_RUN is not set
+# CONFIG_ON_DEVICE_ROM_RUN is not set
+# CONFIG_MULTIPLE_VGA_ADAPTERS is not set
+CONFIG_PCI=y
+# CONFIG_HYPERTRANSPORT_PLUGIN_SUPPORT is not set
+CONFIG_PCIX_PLUGIN_SUPPORT=y
+CONFIG_PCIEXP_PLUGIN_SUPPORT=y
+CONFIG_AGP_PLUGIN_SUPPORT=y
+CONFIG_CARDBUS_PLUGIN_SUPPORT=y
+# CONFIG_AZALIA_PLUGIN_SUPPORT is not set
+CONFIG_PCIEXP_COMMON_CLOCK=y
+CONFIG_PCIEXP_ASPM=y
+CONFIG_PCI_BUS_SEGN_BITS=0
+# CONFIG_EARLY_PCI_BRIDGE is not set
+
+#
+# VGA BIOS
+#
+
+#
+# PXE ROM
+#
+# CONFIG_PXE_ROM is not set
+CONFIG_SUBSYSTEM_VENDOR_ID=0x0000
+CONFIG_SUBSYSTEM_DEVICE_ID=0x0000
+
+#
+# Generic Drivers
+#
+# CONFIG_ELOG is not set
+# CONFIG_DRIVERS_I2C_RTD2132 is not set
+# CONFIG_INTEL_DP is not set
+# CONFIG_INTEL_DDI is not set
+# CONFIG_INTEL_EDID is not set
+# CONFIG_IPMI_KCS is not set
+# CONFIG_DRIVER_MAXIM_MAX77686 is not set
+# CONFIG_DRIVER_PARADE_PS8625 is not set
+# CONFIG_TPM is not set
+# CONFIG_RTL8168_ROM_DISABLE is not set
+# CONFIG_DRIVERS_SIL_3114 is not set
+CONFIG_SPI_FLASH=y
+# CONFIG_SPI_FLASH_SMM is not set
+CONFIG_SPI_FLASH_AMIC=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
+# CONFIG_SPI_FLASH_NO_FAST_READ is not set
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_ADESTO=y
+# CONFIG_DRIVER_TI_TPS65090 is not set
+CONFIG_DRIVERS_UART=y
+CONFIG_DRIVERS_UART_8250IO=y
+# CONFIG_NO_UART_ON_SUPERIO is not set
+# CONFIG_DRIVERS_UART_8250MEM is not set
+# CONFIG_HAVE_UART_SPECIAL is not set
+# CONFIG_DRIVERS_UART_OXPCIE is not set
+# CONFIG_DRIVERS_UART_PL011 is not set
+CONFIG_HAVE_USBDEBUG=y
+CONFIG_HAVE_USBDEBUG_OPTIONS=y
+# CONFIG_DRIVER_XPOWERS_AXP209 is not set
+CONFIG_MMCONF_SUPPORT=y
+# CONFIG_BOOTMODE_STRAPS is not set
+
+#
+# Console
+#
+CONFIG_SQUELCH_EARLY_SMP=y
+CONFIG_CONSOLE_SERIAL=y
+
+#
+# I/O mapped, 8250-compatible
+#
+CONFIG_TTYS0_BASE=0x3f8
+CONFIG_CONSOLE_SERIAL_115200=y
+# CONFIG_CONSOLE_SERIAL_57600 is not set
+# CONFIG_CONSOLE_SERIAL_38400 is not set
+# CONFIG_CONSOLE_SERIAL_19200 is not set
+# CONFIG_CONSOLE_SERIAL_9600 is not set
+CONFIG_TTYS0_BAUD=115200
+CONFIG_TTYS0_LCS=3
+# CONFIG_SPKMODEM is not set
+# CONFIG_CONSOLE_NE2K is not set
+# CONFIG_CONSOLE_CBMEM is not set
+CONFIG_DEFAULT_CONSOLE_LOGLEVEL_8=y
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_7 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_6 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_5 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_4 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_3 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_2 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_1 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_0 is not set
+# CONFIG_NO_POST is not set
+# CONFIG_CMOS_POST is not set
+CONFIG_POST_IO=y
+CONFIG_POST_DEVICE=y
+CONFIG_POST_DEVICE_NONE=y
+# CONFIG_POST_DEVICE_LPC is not set
+# CONFIG_POST_DEVICE_PCI_PCIE is not set
+CONFIG_POST_IO_PORT=0x80
+# CONFIG_HAVE_ACPI_RESUME is not set
+# CONFIG_HAVE_ACPI_SLIC is not set
+CONFIG_HAVE_HARD_RESET=y
+# CONFIG_HAVE_MONOTONIC_TIMER is not set
+CONFIG_HAVE_OPTION_TABLE=y
+# CONFIG_PIRQ_ROUTE is not set
+CONFIG_HAVE_SMI_HANDLER=y
+# CONFIG_PCI_IO_CFG_EXT is not set
+CONFIG_IOAPIC=y
+CONFIG_USE_WATCHDOG_ON_BOOT=y
+CONFIG_GFXUMA=y
+# CONFIG_RELOCATABLE_MODULES is not set
+# CONFIG_HAVE_REFCODE_BLOB is not set
+CONFIG_HAVE_ACPI_TABLES=y
+CONFIG_HAVE_PIRQ_TABLE=y
+
+#
+# System tables
+#
+CONFIG_GENERATE_ACPI_TABLES=y
+# CONFIG_GENERATE_MP_TABLE is not set
+# CONFIG_GENERATE_PIRQ_TABLE is not set
+# CONFIG_GENERATE_SMBIOS_TABLES is not set
+
+#
+# Payload
+#
+CONFIG_PAYLOAD_NONE=y
+# CONFIG_PAYLOAD_ELF is not set
+# CONFIG_PAYLOAD_LINUX is not set
+# CONFIG_PAYLOAD_SEABIOS is not set
+# CONFIG_PAYLOAD_FILO is not set
+# CONFIG_PAYLOAD_GRUB2 is not set
+# CONFIG_PAYLOAD_TIANOCORE is not set
+# CONFIG_SEABIOS_THREAD_OPTIONROMS is not set
+
+#
+# Debugging
+#
+# CONFIG_GDB_STUB is not set
+CONFIG_DEBUG_CBFS=y
+# CONFIG_HAVE_DEBUG_RAM_SETUP is not set
+# CONFIG_HAVE_DEBUG_CAR is not set
+# CONFIG_HAVE_DEBUG_SMBUS is not set
+CONFIG_DEBUG_SMI=y
+CONFIG_DEBUG_SMM_RELOCATION=y
+CONFIG_DEBUG_MALLOC=y
+CONFIG_DEBUG_ACPI=y
+CONFIG_DEBUG_SPI_FLASH=y
+CONFIG_TRACE=y
+# CONFIG_ENABLE_APIC_EXT_ID is not set
+CONFIG_WARNINGS_ARE_ERRORS=y
+# CONFIG_POWER_BUTTON_DEFAULT_ENABLE is not set
+# CONFIG_POWER_BUTTON_DEFAULT_DISABLE is not set
+# CONFIG_POWER_BUTTON_FORCE_ENABLE is not set
+# CONFIG_POWER_BUTTON_FORCE_DISABLE is not set
+# CONFIG_POWER_BUTTON_IS_OPTIONAL is not set
+CONFIG_REG_SCRIPT=y
diff --git a/config-d3h-withmrc b/config-d3h-withmrc
new file mode 100644
index 0000000..09815fd
--- /dev/null
+++ b/config-d3h-withmrc
@@ -0,0 +1,455 @@
+#
+# Automatically generated make config: don't edit
+# coreboot version: 4.0-5883-gae16d3d-dirty
+# Mon May  5 04:24:24 2014
+#
+
+#
+# General setup
+#
+CONFIG_EXPERT=y
+CONFIG_LOCALVERSION="zam"
+CONFIG_CBFS_PREFIX="fallback"
+CONFIG_COMPILER_GCC=y
+# CONFIG_COMPILER_LLVM_CLANG is not set
+# CONFIG_ANY_TOOLCHAIN is not set
+# CONFIG_SCANBUILD_ENABLE is not set
+# CONFIG_CCACHE is not set
+# CONFIG_SCONFIG_GENPARSER is not set
+# CONFIG_USE_OPTION_TABLE is not set
+CONFIG_COMPRESS_RAMSTAGE=y
+CONFIG_INCLUDE_CONFIG_FILE=y
+# CONFIG_EARLY_CBMEM_INIT is not set
+CONFIG_BROKEN_CAR_MIGRATE=y
+# CONFIG_DYNAMIC_CBMEM is not set
+# CONFIG_COLLECT_TIMESTAMPS is not set
+# CONFIG_USE_BLOBS is not set
+# CONFIG_COVERAGE is not set
+
+#
+# Mainboard
+#
+# CONFIG_VENDOR_AAEON is not set
+# CONFIG_VENDOR_ABIT is not set
+# CONFIG_VENDOR_ADLINK is not set
+# CONFIG_VENDOR_ADVANSUS is not set
+# CONFIG_VENDOR_ADVANTECH is not set
+# CONFIG_VENDOR_AMD is not set
+# CONFIG_VENDOR_AOPEN is not set
+# CONFIG_VENDOR_ARIMA is not set
+# CONFIG_VENDOR_ARTECGROUP is not set
+# CONFIG_VENDOR_ASI is not set
+# CONFIG_VENDOR_ASROCK is not set
+# CONFIG_VENDOR_ASUS is not set
+# CONFIG_VENDOR_A_TREND is not set
+# CONFIG_VENDOR_AVALUE is not set
+# CONFIG_VENDOR_AXUS is not set
+# CONFIG_VENDOR_AZZA is not set
+# CONFIG_VENDOR_BACHMANN is not set
+# CONFIG_VENDOR_BCOM is not set
+# CONFIG_VENDOR_BIFFEROS is not set
+# CONFIG_VENDOR_BIOSTAR is not set
+# CONFIG_VENDOR_BROADCOM is not set
+# CONFIG_VENDOR_COMPAQ is not set
+# CONFIG_VENDOR_CUBIETECH is not set
+# CONFIG_VENDOR_DIGITALLOGIC is not set
+# CONFIG_VENDOR_DMP is not set
+# CONFIG_VENDOR_EAGLELION is not set
+# CONFIG_VENDOR_ECS is not set
+# CONFIG_VENDOR_EMULATION is not set
+# CONFIG_VENDOR_GETAC is not set
+CONFIG_VENDOR_GIGABYTE=y
+# CONFIG_VENDOR_GIZMOSPHERE is not set
+# CONFIG_VENDOR_GOOGLE is not set
+# CONFIG_VENDOR_HP is not set
+# CONFIG_VENDOR_IBASE is not set
+# CONFIG_VENDOR_IBM is not set
+# CONFIG_VENDOR_IEI is not set
+# CONFIG_VENDOR_INTEL is not set
+# CONFIG_VENDOR_IWAVE is not set
+# CONFIG_VENDOR_IWILL is not set
+# CONFIG_VENDOR_JETWAY is not set
+# CONFIG_VENDOR_KONTRON is not set
+# CONFIG_VENDOR_LANNER is not set
+# CONFIG_VENDOR_LENOVO is not set
+# CONFIG_VENDOR_LINUTOP is not set
+# CONFIG_VENDOR_LIPPERT is not set
+# CONFIG_VENDOR_MITAC is not set
+# CONFIG_VENDOR_MSI is not set
+# CONFIG_VENDOR_NEC is not set
+# CONFIG_VENDOR_NEWISYS is not set
+# CONFIG_VENDOR_NOKIA is not set
+# CONFIG_VENDOR_NVIDIA is not set
+# CONFIG_VENDOR_PACKARDBELL is not set
+# CONFIG_VENDOR_PCENGINES is not set
+# CONFIG_VENDOR_RCA is not set
+# CONFIG_VENDOR_RODA is not set
+# CONFIG_VENDOR_SAMSUNG is not set
+# CONFIG_VENDOR_SIEMENS is not set
+# CONFIG_VENDOR_SOYO is not set
+# CONFIG_VENDOR_SUNW is not set
+# CONFIG_VENDOR_SUPERMICRO is not set
+# CONFIG_VENDOR_TECHNEXION is not set
+# CONFIG_VENDOR_TECHNOLOGIC is not set
+# CONFIG_VENDOR_TELEVIDEO is not set
+# CONFIG_VENDOR_TI is not set
+# CONFIG_VENDOR_THOMSON is not set
+# CONFIG_VENDOR_TRAVERSE is not set
+# CONFIG_VENDOR_TYAN is not set
+# CONFIG_VENDOR_VIA is not set
+# CONFIG_VENDOR_WINENT is not set
+# CONFIG_VENDOR_WYSE is not set
+CONFIG_BOARD_SPECIFIC_OPTIONS=y
+CONFIG_MAINBOARD_DIR="gigabyte/ga-b75m-d3h"
+CONFIG_MAINBOARD_PART_NUMBER="GA-B75M-D3H"
+CONFIG_IRQ_SLOT_COUNT=18
+CONFIG_MAINBOARD_VENDOR="GIGABYTE"
+CONFIG_MAX_CPUS=8
+CONFIG_RAMTOP=0x200000
+CONFIG_HEAP_SIZE=0x4000
+CONFIG_RAMBASE=0x100000
+CONFIG_VGA_BIOS_ID="8086,0106"
+CONFIG_DRIVERS_PS2_KEYBOARD=y
+# CONFIG_ONBOARD_VGA_IS_PRIMARY is not set
+# CONFIG_VGA_BIOS is not set
+# CONFIG_UDELAY_IO is not set
+CONFIG_DCACHE_RAM_BASE=0xff7e0000
+CONFIG_DCACHE_RAM_SIZE=0x20000
+# CONFIG_SERIAL_CPU_INIT is not set
+CONFIG_ACPI_SSDTX_NUM=0
+CONFIG_VGA_BIOS_FILE="pci8086,0102.rom"
+# CONFIG_PCI_64BIT_PREF_MEM is not set
+CONFIG_UART_FOR_CONSOLE=0
+CONFIG_ID_SECTION_OFFSET=0x80
+CONFIG_STACK_SIZE=0x1000
+# CONFIG_BOARD_GIGABYTE_GA_2761GXDK is not set
+# CONFIG_BOARD_GIGABYTE_GA_6BXC is not set
+# CONFIG_BOARD_GIGABYTE_GA_6BXE is not set
+CONFIG_BOARD_GIGABYTE_GA_B75M_D3H=y
+# CONFIG_BOARD_GIGABYTE_M57SLI is not set
+# CONFIG_BOARD_GIGABYTE_MA785GMT is not set
+# CONFIG_BOARD_GIGABYTE_MA785GM is not set
+# CONFIG_BOARD_GIGABYTE_MA78GM is not set
+CONFIG_HAVE_IFD_BIN=y
+CONFIG_HAVE_ME_BIN=y
+# CONFIG_HAVE_GBE_BIN is not set
+CONFIG_IFD_BIOS_SECTION="0x00600000:0x007fffff"
+CONFIG_IFD_ME_SECTION="0x00001000:0x004fffff"
+CONFIG_IFD_GBE_SECTION="0x00fff000:0x00000fff"
+CONFIG_MRC_CACHE_LOCATION=0x667280
+CONFIG_MMCONF_SUPPORT_DEFAULT=y
+# CONFIG_VGA is not set
+CONFIG_SEABIOS_PS2_TIMEOUT=0
+CONFIG_DRAM_RESET_GATE_GPIO=60
+CONFIG_CPU_ADDR_BITS=32
+CONFIG_HAVE_MRC_CACHE=y
+CONFIG_CACHE_ROM_SIZE_OVERRIDE=0
+CONFIG_LOGICAL_CPUS=y
+CONFIG_DEFAULT_CONSOLE_LOGLEVEL=8
+# CONFIG_USBDEBUG is not set
+CONFIG_BOARD_ROMSIZE_KB_8192=y
+# CONFIG_COREBOOT_ROMSIZE_KB_64 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_128 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_256 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_512 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_1024 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_2048 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_4096 is not set
+CONFIG_COREBOOT_ROMSIZE_KB_8192=y
+# CONFIG_COREBOOT_ROMSIZE_KB_12288 is not set
+# CONFIG_COREBOOT_ROMSIZE_KB_16384 is not set
+CONFIG_COREBOOT_ROMSIZE_KB=8192
+CONFIG_ROM_SIZE=0x800000
+CONFIG_ARCH_X86=y
+# CONFIG_ARCH_ARMV7 is not set
+
+#
+# Architecture (x86)
+#
+CONFIG_X86_ARCH_OPTIONS=y
+# CONFIG_AP_IN_SIPI_WAIT is not set
+# CONFIG_SIPI_VECTOR_IN_ROM is not set
+CONFIG_MAX_REBOOT_CNT=3
+CONFIG_NUM_IPI_STARTS=2
+CONFIG_X86_BOOTBLOCK_SIMPLE=y
+# CONFIG_X86_BOOTBLOCK_NORMAL is not set
+CONFIG_BOOTBLOCK_SOURCE="bootblock_simple.c"
+# CONFIG_UPDATE_IMAGE is not set
+# CONFIG_ROMCC is not set
+CONFIG_PC80_SYSTEM=y
+CONFIG_BOOTBLOCK_NORTHBRIDGE_INIT="northbridge/intel/sandybridge/bootblock.c"
+CONFIG_HAVE_CMOS_DEFAULT=y
+CONFIG_CMOS_DEFAULT_FILE="src/mainboard/$(MAINBOARDDIR)/cmos.default"
+CONFIG_BOOTBLOCK_SOUTHBRIDGE_INIT="southbridge/intel/bd82x6x/bootblock.c"
+CONFIG_IOAPIC_INTERRUPTS_ON_FSB=y
+# CONFIG_IOAPIC_INTERRUPTS_ON_APIC_SERIAL_BUS is not set
+CONFIG_HPET_ADDRESS=0xfed00000
+CONFIG_HAVE_ARCH_MEMSET=y
+CONFIG_HAVE_ARCH_MEMCPY=y
+CONFIG_HAVE_ARCH_MEMMOVE=y
+# CONFIG_MAINBOARD_HAS_CHROMEOS is not set
+
+#
+# Chipset
+#
+
+#
+# CPU
+#
+# CONFIG_CPU_ALLWINNER_A10 is not set
+CONFIG_CPU_SPECIFIC_OPTIONS=y
+CONFIG_BOOTBLOCK_CPU_INIT="cpu/intel/model_206ax/bootblock.c"
+# CONFIG_CPU_ARMLTD_CORTEX_A9 is not set
+# CONFIG_CPU_SAMSUNG_EXYNOS5250 is not set
+# CONFIG_CPU_SAMSUNG_EXYNOS5420 is not set
+# CONFIG_CPU_TI_AM335X is not set
+CONFIG_SOCKET_SPECIFIC_OPTIONS=y
+CONFIG_XIP_ROM_SIZE=0x10000
+# CONFIG_CPU_AMD_AGESA is not set
+CONFIG_HIGH_SCRATCH_MEMORY_SIZE=0x0
+CONFIG_CPU_INTEL_MODEL_206AX=y
+CONFIG_CPU_INTEL_MODEL_306AX=y
+CONFIG_SMM_TSEG_SIZE=0x800000
+# CONFIG_ENABLE_VMX is not set
+CONFIG_SSE2=y
+CONFIG_CPU_INTEL_SOCKET_LGA1155=y
+CONFIG_CACHE_MRC_BIN=y
+# CONFIG_CPU_INTEL_FIRMWARE_INTERFACE_TABLE is not set
+# CONFIG_CPU_INTEL_TURBO_NOT_PACKAGE_SCOPED is not set
+CONFIG_UDELAY_LAPIC=y
+# CONFIG_LAPIC_MONOTONIC_TIMER is not set
+# CONFIG_UDELAY_TSC is not set
+# CONFIG_UDELAY_TIMER2 is not set
+# CONFIG_TSC_CALIBRATE_WITH_IO is not set
+# CONFIG_TSC_SYNC_LFENCE is not set
+CONFIG_TSC_SYNC_MFENCE=y
+CONFIG_SMM_TSEG=y
+# CONFIG_SMM_MODULES is not set
+# CONFIG_X86_AMD_FIXED_MTRRS is not set
+# CONFIG_PARALLEL_MP is not set
+# CONFIG_BACKUP_DEFAULT_SMM_REGION is not set
+# CONFIG_MIRROR_PAYLOAD_TO_RAM_BEFORE_LOADING is not set
+CONFIG_CACHE_AS_RAM=y
+CONFIG_SMP=y
+CONFIG_AP_SIPI_VECTOR=0xfffff000
+CONFIG_MMX=y
+CONFIG_SSE=y
+CONFIG_SUPPORT_CPU_UCODE_IN_CBFS=y
+CONFIG_CPU_MICROCODE_ADDED_DURING_BUILD=y
+CONFIG_CPU_MICROCODE_CBFS_GENERATE=y
+# CONFIG_CPU_MICROCODE_CBFS_EXTERNAL is not set
+# CONFIG_CPU_MICROCODE_CBFS_NONE is not set
+
+#
+# Northbridge
+#
+CONFIG_VIDEO_MB=0
+# CONFIG_NORTHBRIDGE_AMD_AGESA is not set
+# CONFIG_AMD_NB_CIMX is not set
+# CONFIG_NORTHBRIDGE_AMD_CIMX_RD890 is not set
+CONFIG_CBFS_SIZE=0x200000
+CONFIG_NORTHBRIDGE_INTEL_IVYBRIDGE=y
+CONFIG_CACHE_MRC_SIZE_KB=512
+CONFIG_MRC_CACHE_SIZE=0x10000
+CONFIG_DCACHE_RAM_MRC_VAR_SIZE=0x4000
+CONFIG_HAVE_MRC=y
+CONFIG_MRC_FILE="3rdparty/northbridge/intel/sandybridge/systemagent-r6.bin"
+CONFIG_HPET_MIN_TICKS=0x80
+CONFIG_MAX_PIRQ_LINKS=4
+
+#
+# Southbridge
+#
+CONFIG_EHCI_BAR=0xfef00000
+# CONFIG_AMD_SB_CIMX is not set
+# CONFIG_SOUTHBRIDGE_AMD_CIMX_SB800 is not set
+# CONFIG_SOUTHBRIDGE_AMD_CIMX_SB900 is not set
+CONFIG_SOUTHBRIDGE_INTEL_COMMON=y
+CONFIG_SOUTHBRIDGE_INTEL_C216=y
+CONFIG_SOUTH_BRIDGE_OPTIONS=y
+# CONFIG_SERIRQ_CONTINUOUS_MODE is not set
+# CONFIG_BUILD_WITH_FAKE_IFD is not set
+CONFIG_IFD_BIN_PATH="/home/damien/git/giga/desc_d3h.bin"
+CONFIG_ME_BIN_PATH="/home/damien/git/giga/me_d3h.bin"
+# CONFIG_LOCK_MANAGEMENT_ENGINE is not set
+# CONFIG_LOCK_SPI_ON_RESUME is not set
+
+#
+# Super I/O
+#
+CONFIG_SUPERIO_ITE_IT8728F=y
+
+#
+# Embedded Controllers
+#
+
+#
+# SoC
+#
+
+#
+# Devices
+#
+# CONFIG_MAINBOARD_HAS_NATIVE_VGA_INIT is not set
+# CONFIG_MAINBOARD_HAS_NATIVE_VGA_INIT_TEXTMODECFG is not set
+# CONFIG_VGA_ROM_RUN is not set
+# CONFIG_ON_DEVICE_ROM_RUN is not set
+# CONFIG_MULTIPLE_VGA_ADAPTERS is not set
+CONFIG_PCI=y
+# CONFIG_HYPERTRANSPORT_PLUGIN_SUPPORT is not set
+CONFIG_PCIX_PLUGIN_SUPPORT=y
+CONFIG_PCIEXP_PLUGIN_SUPPORT=y
+CONFIG_AGP_PLUGIN_SUPPORT=y
+CONFIG_CARDBUS_PLUGIN_SUPPORT=y
+# CONFIG_AZALIA_PLUGIN_SUPPORT is not set
+CONFIG_PCIEXP_COMMON_CLOCK=y
+CONFIG_PCIEXP_ASPM=y
+CONFIG_PCI_BUS_SEGN_BITS=0
+# CONFIG_EARLY_PCI_BRIDGE is not set
+
+#
+# VGA BIOS
+#
+
+#
+# PXE ROM
+#
+# CONFIG_PXE_ROM is not set
+CONFIG_SUBSYSTEM_VENDOR_ID=0x0000
+CONFIG_SUBSYSTEM_DEVICE_ID=0x0000
+
+#
+# Generic Drivers
+#
+# CONFIG_ELOG is not set
+# CONFIG_DRIVERS_I2C_RTD2132 is not set
+# CONFIG_INTEL_DP is not set
+# CONFIG_INTEL_DDI is not set
+# CONFIG_INTEL_EDID is not set
+# CONFIG_IPMI_KCS is not set
+# CONFIG_DRIVER_MAXIM_MAX77686 is not set
+# CONFIG_DRIVER_PARADE_PS8625 is not set
+# CONFIG_TPM is not set
+# CONFIG_RTL8168_ROM_DISABLE is not set
+# CONFIG_DRIVERS_SIL_3114 is not set
+CONFIG_SPI_FLASH=y
+# CONFIG_SPI_FLASH_SMM is not set
+CONFIG_SPI_FLASH_AMIC=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
+# CONFIG_SPI_FLASH_NO_FAST_READ is not set
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_ADESTO=y
+# CONFIG_DRIVER_TI_TPS65090 is not set
+CONFIG_DRIVERS_UART=y
+CONFIG_DRIVERS_UART_8250IO=y
+# CONFIG_NO_UART_ON_SUPERIO is not set
+# CONFIG_DRIVERS_UART_8250MEM is not set
+# CONFIG_HAVE_UART_SPECIAL is not set
+# CONFIG_DRIVERS_UART_OXPCIE is not set
+# CONFIG_DRIVERS_UART_PL011 is not set
+CONFIG_HAVE_USBDEBUG=y
+CONFIG_HAVE_USBDEBUG_OPTIONS=y
+# CONFIG_DRIVER_XPOWERS_AXP209 is not set
+CONFIG_MMCONF_SUPPORT=y
+# CONFIG_BOOTMODE_STRAPS is not set
+
+#
+# Console
+#
+CONFIG_SQUELCH_EARLY_SMP=y
+CONFIG_CONSOLE_SERIAL=y
+
+#
+# I/O mapped, 8250-compatible
+#
+CONFIG_TTYS0_BASE=0x3f8
+CONFIG_CONSOLE_SERIAL_115200=y
+# CONFIG_CONSOLE_SERIAL_57600 is not set
+# CONFIG_CONSOLE_SERIAL_38400 is not set
+# CONFIG_CONSOLE_SERIAL_19200 is not set
+# CONFIG_CONSOLE_SERIAL_9600 is not set
+CONFIG_TTYS0_BAUD=115200
+CONFIG_TTYS0_LCS=3
+# CONFIG_SPKMODEM is not set
+# CONFIG_CONSOLE_NE2K is not set
+# CONFIG_CONSOLE_CBMEM is not set
+CONFIG_DEFAULT_CONSOLE_LOGLEVEL_8=y
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_7 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_6 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_5 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_4 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_3 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_2 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_1 is not set
+# CONFIG_DEFAULT_CONSOLE_LOGLEVEL_0 is not set
+# CONFIG_NO_POST is not set
+# CONFIG_CMOS_POST is not set
+CONFIG_POST_IO=y
+CONFIG_POST_DEVICE=y
+CONFIG_POST_DEVICE_NONE=y
+# CONFIG_POST_DEVICE_LPC is not set
+# CONFIG_POST_DEVICE_PCI_PCIE is not set
+CONFIG_POST_IO_PORT=0x80
+# CONFIG_HAVE_ACPI_RESUME is not set
+# CONFIG_HAVE_ACPI_SLIC is not set
+CONFIG_HAVE_HARD_RESET=y
+# CONFIG_HAVE_MONOTONIC_TIMER is not set
+CONFIG_HAVE_OPTION_TABLE=y
+# CONFIG_PIRQ_ROUTE is not set
+CONFIG_HAVE_SMI_HANDLER=y
+# CONFIG_PCI_IO_CFG_EXT is not set
+CONFIG_IOAPIC=y
+CONFIG_USE_WATCHDOG_ON_BOOT=y
+CONFIG_GFXUMA=y
+# CONFIG_RELOCATABLE_MODULES is not set
+# CONFIG_HAVE_REFCODE_BLOB is not set
+CONFIG_HAVE_ACPI_TABLES=y
+CONFIG_HAVE_PIRQ_TABLE=y
+
+#
+# System tables
+#
+CONFIG_GENERATE_ACPI_TABLES=y
+# CONFIG_GENERATE_MP_TABLE is not set
+# CONFIG_GENERATE_PIRQ_TABLE is not set
+# CONFIG_GENERATE_SMBIOS_TABLES is not set
+
+#
+# Payload
+#
+CONFIG_PAYLOAD_NONE=y
+# CONFIG_PAYLOAD_ELF is not set
+# CONFIG_PAYLOAD_LINUX is not set
+# CONFIG_PAYLOAD_SEABIOS is not set
+# CONFIG_PAYLOAD_FILO is not set
+# CONFIG_PAYLOAD_GRUB2 is not set
+# CONFIG_PAYLOAD_TIANOCORE is not set
+# CONFIG_SEABIOS_THREAD_OPTIONROMS is not set
+
+#
+# Debugging
+#
+# CONFIG_GDB_STUB is not set
+CONFIG_DEBUG_CBFS=y
+# CONFIG_HAVE_DEBUG_RAM_SETUP is not set
+# CONFIG_HAVE_DEBUG_CAR is not set
+# CONFIG_HAVE_DEBUG_SMBUS is not set
+CONFIG_DEBUG_SMI=y
+CONFIG_DEBUG_SMM_RELOCATION=y
+CONFIG_DEBUG_MALLOC=y
+CONFIG_DEBUG_ACPI=y
+CONFIG_DEBUG_SPI_FLASH=y
+CONFIG_TRACE=y
+# CONFIG_ENABLE_APIC_EXT_ID is not set
+CONFIG_WARNINGS_ARE_ERRORS=y
+# CONFIG_POWER_BUTTON_DEFAULT_ENABLE is not set
+# CONFIG_POWER_BUTTON_DEFAULT_DISABLE is not set
+# CONFIG_POWER_BUTTON_FORCE_ENABLE is not set
+# CONFIG_POWER_BUTTON_FORCE_DISABLE is not set
+# CONFIG_POWER_BUTTON_IS_OPTIONAL is not set
+CONFIG_REG_SCRIPT=y
diff --git a/d3h-dsdt b/d3h-dsdt
new file mode 100644
index 0000000..b63de76
Binary files /dev/null and b/d3h-dsdt differ
diff --git a/d3h-dsdt.dsl b/d3h-dsdt.dsl
new file mode 100644
index 0000000..a8340e2
--- /dev/null
+++ b/d3h-dsdt.dsl
@@ -0,0 +1,11661 @@
+/*
+ * Intel ACPI Component Architecture
+ * AML Disassembler version 20100528
+ *
+ * Disassembly of dsdt, Mon May  5 07:48:37 2014
+ *
+ *
+ * Original Table Header:
+ *     Signature        "DSDT"
+ *     Length           0x00009C24 (39972)
+ *     Revision         0x02
+ *     Checksum         0xF8
+ *     OEM ID           "ALASKA"
+ *     OEM Table ID     "A M I"
+ *     OEM Revision     0x00000012 (18)
+ *     Compiler ID      "INTL"
+ *     Compiler Version 0x20051117 (537202967)
+ */
+DefinitionBlock ("dsdt.aml", "DSDT", 2, "ALASKA", "A M I", 0x00000012)
+{
+    External (TNOT, MethodObj)    // 0 Arguments
+    External (PDC7)
+    External (PDC6)
+    External (PDC5)
+    External (PDC4)
+    External (PDC3)
+    External (PDC2)
+    External (PDC1)
+    External (PDC0)
+    External (CFGD)
+    External (HNOT, MethodObj)    // 1 Arguments
+    External (SNXD)
+    External (HWID, IntObj)
+    External (IDAB, MethodObj)    // 0 Arguments
+    External (HDOS, MethodObj)    // 0 Arguments
+    External (ECST, MethodObj)    // 1 Arguments
+    External (\_PR_.APSV)
+    External (\_PR_.AAC0)
+    External (\_PR_.ACRT)
+    External (\_PR_.CPU0._PPC)
+    External (\_SB_.PCI0.IEIT.EITV, MethodObj)    // 0 Arguments
+
+    Name (SP1O, 0x2E)
+    Name (GPIB, 0x0A00)
+    Name (GPIL, 0x10)
+    Name (PMEB, 0x0A20)
+    Name (PMEL, 0x10)
+    Name (HWMB, 0x0A30)
+    Name (HWML, 0x10)
+    Name (IOES, Zero)
+    Name (SMBS, 0x0580)
+    Name (SMBL, 0x20)
+    Name (PMBS, 0x0400)
+    Name (PMLN, 0x80)
+    Name (GPBS, 0x0500)
+    Name (GPLN, 0x80)
+    Name (SMIP, 0xB2)
+    Name (APCB, 0xFEC00000)
+    Name (APCL, 0x1000)
+    Name (SMCR, 0x0430)
+    Name (HPTB, 0xFED00000)
+    Name (HPTC, 0xFED1F404)
+    Name (FLSZ, 0x00300000)
+    Name (SRCB, 0xFED1C000)
+    Name (RCLN, 0x4000)
+    Name (TCBR, 0xFED08000)
+    Name (TCLT, 0x1000)
+    Name (PEBS, 0xF8000000)
+    Name (PELN, 0x04000000)
+    Name (LAPB, 0xFEE00000)
+    Name (EGPB, 0xFED19000)
+    Name (MCHB, 0xFED10000)
+    Name (VTBS, 0xFED90000)
+    Name (VTLN, 0x4000)
+    Name (ACPH, 0xDE)
+    Name (ASSB, Zero)
+    Name (AOTB, Zero)
+    Name (AAXB, Zero)
+    Name (PEHP, One)
+    Name (SHPC, Zero)
+    Name (PEPM, One)
+    Name (PEER, One)
+    Name (PECS, One)
+    Name (ITKE, Zero)
+    Name (MBEC, 0xFFFF)
+    Name (FMBL, One)
+    Name (FDTP, 0x02)
+    Name (FUPS, 0x03)
+    Name (FUWS, 0x04)
+    Name (BSH, Zero)
+    Name (BEL, One)
+    Name (BEH, 0x02)
+    Name (BRH, 0x03)
+    Name (BTF, 0x04)
+    Name (BHC, 0x05)
+    Name (BYB, 0x06)
+    Name (BPH, 0x07)
+    Name (BSHS, 0x08)
+    Name (BELC, 0x09)
+    Name (BRHP, 0x0A)
+    Name (BTFC, 0x0B)
+    Name (BEHP, 0x0C)
+    Name (BPHS, 0x0D)
+    Name (BELP, 0x0E)
+    Name (BTL, 0x10)
+    Name (BTFP, 0x11)
+    Name (BSR, 0x14)
+    Name (BOF, 0x20)
+    Name (BEF, 0x21)
+    Name (BLLE, 0x22)
+    Name (BLLC, 0x23)
+    Name (BLCA, 0x24)
+    Name (BLLS, 0x25)
+    Name (BLLP, 0x26)
+    Name (BLLD, 0x27)
+    Name (BHBE, 0x30)
+    Name (BHBC, 0x31)
+    Name (BHBN, 0x32)
+    Name (BHBM, 0x33)
+    Name (TRTP, One)
+    Name (WDTE, One)
+    Name (TRTD, 0x02)
+    Name (TRTI, 0x03)
+    Name (GCDD, One)
+    Name (DSTA, 0x0A)
+    Name (DSLO, 0x0C)
+    Name (DSLC, 0x0E)
+    Name (PITS, 0x10)
+    Name (SBCS, 0x12)
+    Name (SALS, 0x13)
+    Name (LSSS, 0x2A)
+    Name (SOOT, 0x35)
+    Name (PDBR, 0x4D)
+    Name (SMIT, 0xB2)
+    Name (OFST, 0x35)
+    Name (TPMF, Zero)
+    Name (TCMF, Zero)
+    Name (TMF1, Zero)
+    Name (TMF2, Zero)
+    Name (TMF3, Zero)
+    Name (TRST, 0x02)
+    Name (SS1, Zero)
+    Name (SS2, Zero)
+    Name (SS3, One)
+    Name (SS4, One)
+    Name (IOST, 0x0000)
+    Name (TOPM, 0x00000000)
+    Name (ROMS, 0xFFE00000)
+    Name (VGAF, One)
+    OperationRegion (GNVS, SystemMemory, 0xD5E49E18, 0x01CD)
+    Field (GNVS, AnyAcc, Lock, Preserve)
+    {
+        OSYS,   16, 
+        SMIF,   8, 
+        PRM0,   8, 
+        PRM1,   8, 
+        SCIF,   8, 
+        PRM2,   8, 
+        PRM3,   8, 
+        LCKF,   8, 
+        PRM4,   8, 
+        PRM5,   8, 
+        P80D,   32, 
+        LIDS,   8, 
+        PWRS,   8, 
+        DBGS,   8, 
+        THOF,   8, 
+        ACT1,   8, 
+        ACTT,   8, 
+        PSVT,   8, 
+        TC1V,   8, 
+        TC2V,   8, 
+        TSPV,   8, 
+        CRTT,   8, 
+        DTSE,   8, 
+        DTS1,   8, 
+        DTS2,   8, 
+        DTSF,   8, 
+                Offset (0x25), 
+        REVN,   8, 
+        RES3,   8, 
+                Offset (0x28), 
+        APIC,   8, 
+        TCNT,   8, 
+        PCP0,   8, 
+        PCP1,   8, 
+        PPCM,   8, 
+        PPMF,   32, 
+        C67L,   8, 
+        NATP,   8, 
+        CMAP,   8, 
+        CMBP,   8, 
+        LPTP,   8, 
+        FDCP,   8, 
+        CMCP,   8, 
+        CIRP,   8, 
+        SMSC,   8, 
+        W381,   8, 
+        SMC1,   8, 
+        IGDS,   8, 
+        TLST,   8, 
+        CADL,   8, 
+        PADL,   8, 
+        CSTE,   16, 
+        NSTE,   16, 
+        SSTE,   16, 
+        NDID,   8, 
+        DID1,   32, 
+        DID2,   32, 
+        DID3,   32, 
+        DID4,   32, 
+        DID5,   32, 
+        KSV0,   32, 
+        KSV1,   8, 
+                Offset (0x67), 
+        BLCS,   8, 
+        BRTL,   8, 
+        ALSE,   8, 
+        ALAF,   8, 
+        LLOW,   8, 
+        LHIH,   8, 
+                Offset (0x6E), 
+        EMAE,   8, 
+        EMAP,   16, 
+        EMAL,   16, 
+                Offset (0x74), 
+        MEFE,   8, 
+        DSTS,   8, 
+                Offset (0x78), 
+        TPMP,   8, 
+        TPME,   8, 
+        MORD,   8, 
+        TCGP,   8, 
+        PPRP,   32, 
+        PPRQ,   8, 
+        LPPR,   8, 
+        GTF0,   56, 
+        GTF2,   56, 
+        IDEM,   8, 
+        GTF1,   56, 
+        BID,    8, 
+        PLID,   8, 
+                Offset (0xAA), 
+        ASLB,   32, 
+        IBTT,   8, 
+        IPAT,   8, 
+        ITVF,   8, 
+        ITVM,   8, 
+        IPSC,   8, 
+        IBLC,   8, 
+        IBIA,   8, 
+        ISSC,   8, 
+        I409,   8, 
+        I509,   8, 
+        I609,   8, 
+        I709,   8, 
+        IPCF,   8, 
+        IDMS,   8, 
+        IF1E,   8, 
+        HVCO,   8, 
+        NXD1,   32, 
+        NXD2,   32, 
+        NXD3,   32, 
+        NXD4,   32, 
+        NXD5,   32, 
+        NXD6,   32, 
+        NXD7,   32, 
+        NXD8,   32, 
+        GSMI,   8, 
+        PAVP,   8, 
+                Offset (0xE1), 
+        OSCC,   8, 
+        NEXP,   8, 
+        SBV1,   8, 
+        SBV2,   8, 
+                Offset (0xEB), 
+        DSEN,   8, 
+        ECON,   8, 
+        GPIC,   8, 
+        CTYP,   8, 
+        L01C,   8, 
+        VFN0,   8, 
+        VFN1,   8, 
+        VFN2,   8, 
+        VFN3,   8, 
+        VFN4,   8, 
+                Offset (0x100), 
+        NVGA,   32, 
+        NVHA,   32, 
+        AMDA,   32, 
+        DID6,   32, 
+        DID7,   32, 
+        DID8,   32, 
+        EBAS,   32, 
+        CPSP,   32, 
+        EECP,   32, 
+        EVCP,   32, 
+        XBAS,   32, 
+        OBS1,   32, 
+        OBS2,   32, 
+        OBS3,   32, 
+        OBS4,   32, 
+        OBS5,   32, 
+        OBS6,   32, 
+        OBS7,   32, 
+        OBS8,   32, 
+                Offset (0x157), 
+        ATMC,   8, 
+        PTMC,   8, 
+        ATRA,   8, 
+        PTRA,   8, 
+        PNHM,   32, 
+        TBAB,   32, 
+        TBAH,   32, 
+        RTIP,   8, 
+        TSOD,   8, 
+        ATPC,   8, 
+        PTPC,   8, 
+        PFLV,   8, 
+        BREV,   8, 
+        SGMD,   8, 
+        SGFL,   8, 
+        PWOK,   8, 
+        HLRS,   8, 
+        DSEL,   8, 
+        ESEL,   8, 
+        PSEL,   8, 
+        PWEN,   8, 
+        PRST,   8, 
+        MXD1,   32, 
+        MXD2,   32, 
+        MXD3,   32, 
+        MXD4,   32, 
+        MXD5,   32, 
+        MXD6,   32, 
+        MXD7,   32, 
+        MXD8,   32, 
+        GBAS,   16, 
+        SGGP,   8, 
+        PXFX,   8, 
+        PXDY,   8, 
+        PXFD,   8, 
+                Offset (0x19D), 
+        ALFP,   8, 
+        IMON,   8, 
+        PDTS,   8, 
+        PKGA,   8, 
+        PAMT,   8, 
+        AC0F,   8, 
+        AC1F,   8, 
+        DTS3,   8, 
+        DTS4,   8, 
+                Offset (0x1B2), 
+        XHCI,   8, 
+        XHPM,   8, 
+                Offset (0x1B7), 
+        XTUB,   32, 
+        XTUS,   32, 
+        XMPB,   32, 
+                Offset (0x1C4), 
+        LPMV,   8, 
+                Offset (0x1C6), 
+        DDRF,   8, 
+        MM64,   8, 
+        AOAC,   8, 
+        SLDR,   32
+    }
+
+    Scope (_SB)
+    {
+        Name (PR00, Package (0x23)
+        {
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                Zero, 
+                LNKF, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                One, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                0x03, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0014FFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                Zero, 
+                LNKH, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                One, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                0x02, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                0x03, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                One, 
+                LNKF, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001BFFFF, 
+                Zero, 
+                LNKG, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                One, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                0x03, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                Zero, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                One, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                0x02, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                0x03, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }
+        })
+        Name (AR00, Package (0x23)
+        {
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                Zero, 
+                Zero, 
+                0x15
+            }, 
+
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                One, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x001FFFFF, 
+                0x03, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0014FFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                Zero, 
+                Zero, 
+                0x17
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                One, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                0x02, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x001DFFFF, 
+                0x03, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                One, 
+                Zero, 
+                0x15
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x001AFFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x001BFFFF, 
+                Zero, 
+                Zero, 
+                0x16
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                One, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x0016FFFF, 
+                0x03, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x001CFFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                Zero, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                One, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                0x02, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0x0006FFFF, 
+                0x03, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x0004FFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }
+        })
+        Name (PR04, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }
+        })
+        Name (AR04, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }
+        })
+        Name (PR05, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKA, 
+                Zero
+            }
+        })
+        Name (AR05, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x10
+            }
+        })
+        Name (PR06, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKB, 
+                Zero
+            }
+        })
+        Name (AR06, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x11
+            }
+        })
+        Name (PR07, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKC, 
+                Zero
+            }
+        })
+        Name (AR07, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x12
+            }
+        })
+        Name (PR08, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }
+        })
+        Name (AR08, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }
+        })
+        Name (PR09, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKA, 
+                Zero
+            }
+        })
+        Name (AR09, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x10
+            }
+        })
+        Name (PR0E, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKB, 
+                Zero
+            }
+        })
+        Name (AR0E, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x11
+            }
+        })
+        Name (PR0F, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKC, 
+                Zero
+            }
+        })
+        Name (AR0F, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x12
+            }
+        })
+        Name (PR01, Package (0x08)
+        {
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                Zero, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                One, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x02, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x03, 
+                LNKE, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                Zero, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                One, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                0x02, 
+                LNKE, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }
+        })
+        Name (AR01, Package (0x08)
+        {
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                Zero, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                One, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x02, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0001FFFF, 
+                0x03, 
+                Zero, 
+                0x14
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                Zero, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                One, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                0x02, 
+                Zero, 
+                0x14
+            }, 
+
+            Package (0x04)
+            {
+                0x0002FFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }
+        })
+        Name (PR02, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }
+        })
+        Name (AR02, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }
+        })
+        Name (PR0A, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKA, 
+                Zero
+            }
+        })
+        Name (AR0A, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x10
+            }
+        })
+        Name (PR0B, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKB, 
+                Zero
+            }
+        })
+        Name (AR0B, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x11
+            }
+        })
+        Name (PR0C, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKD, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKC, 
+                Zero
+            }
+        })
+        Name (AR0C, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x13
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x12
+            }
+        })
+        Name (PR18, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                LNKA, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                LNKB, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                LNKC, 
+                Zero
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                LNKD, 
+                Zero
+            }
+        })
+        Name (AR18, Package (0x04)
+        {
+            Package (0x04)
+            {
+                0xFFFF, 
+                Zero, 
+                Zero, 
+                0x10
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                One, 
+                Zero, 
+                0x11
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x02, 
+                Zero, 
+                0x12
+            }, 
+
+            Package (0x04)
+            {
+                0xFFFF, 
+                0x03, 
+                Zero, 
+                0x13
+            }
+        })
+        Name (PRSA, ResourceTemplate ()
+        {
+            IRQ (Level, ActiveLow, Shared, )
+                {3,4,5,6,10,11,12,14,15}
+        })
+        Alias (PRSA, PRSB)
+        Alias (PRSA, PRSC)
+        Alias (PRSA, PRSD)
+        Alias (PRSA, PRSE)
+        Alias (PRSA, PRSF)
+        Alias (PRSA, PRSG)
+        Alias (PRSA, PRSH)
+        Device (PCI0)
+        {
+            Name (_HID, EisaId ("PNP0A08"))
+            Name (_CID, EisaId ("PNP0A03"))
+            Name (_ADR, Zero)
+            Method (^BN00, 0, NotSerialized)
+            {
+                Return (Zero)
+            }
+
+            Method (_BBN, 0, NotSerialized)
+            {
+                Return (BN00 ())
+            }
+
+            Name (_UID, Zero)
+            Method (_PRT, 0, NotSerialized)
+            {
+                If (PICM)
+                {
+                    Return (AR00 ())
+                }
+
+                Return (PR00 ())
+            }
+
+            OperationRegion (HBUS, PCI_Config, Zero, 0x0100)
+            Field (HBUS, DWordAcc, NoLock, Preserve)
+            {
+                        Offset (0x40), 
+                EPEN,   1, 
+                    ,   11, 
+                EPBR,   20, 
+                        Offset (0x48), 
+                MHEN,   1, 
+                    ,   14, 
+                MHBR,   17, 
+                        Offset (0x50), 
+                GCLK,   1, 
+                        Offset (0x54), 
+                D0EN,   1, 
+                        Offset (0x60), 
+                PXEN,   1, 
+                PXSZ,   2, 
+                    ,   23, 
+                PXBR,   6, 
+                        Offset (0x68), 
+                DIEN,   1, 
+                    ,   11, 
+                DIBR,   20, 
+                        Offset (0x70), 
+                    ,   20, 
+                MEBR,   12, 
+                        Offset (0x80), 
+                    ,   4, 
+                PM0H,   2, 
+                        Offset (0x81), 
+                PM1L,   2, 
+                    ,   2, 
+                PM1H,   2, 
+                        Offset (0x82), 
+                PM2L,   2, 
+                    ,   2, 
+                PM2H,   2, 
+                        Offset (0x83), 
+                PM3L,   2, 
+                    ,   2, 
+                PM3H,   2, 
+                        Offset (0x84), 
+                PM4L,   2, 
+                    ,   2, 
+                PM4H,   2, 
+                        Offset (0x85), 
+                PM5L,   2, 
+                    ,   2, 
+                PM5H,   2, 
+                        Offset (0x86), 
+                PM6L,   2, 
+                    ,   2, 
+                PM6H,   2, 
+                        Offset (0x87), 
+                        Offset (0xA8), 
+                    ,   20, 
+                TUUD,   19, 
+                        Offset (0xBC), 
+                    ,   20, 
+                TLUD,   12, 
+                        Offset (0xC8), 
+                    ,   7, 
+                HTSE,   1
+            }
+
+            OperationRegion (MCHT, SystemMemory, 0xFED10000, 0x1100)
+            Field (MCHT, ByteAcc, NoLock, Preserve)
+            {
+            }
+
+            Name (BUF0, ResourceTemplate ()
+            {
+                WordBusNumber (ResourceProducer, MinFixed, MaxFixed, PosDecode,
+                    0x0000,             // Granularity
+                    0x0000,             // Range Minimum
+                    0x00FF,             // Range Maximum
+                    0x0000,             // Translation Offset
+                    0x0100,             // Length
+                    ,, )
+                DWordIO (ResourceProducer, MinFixed, MaxFixed, PosDecode, EntireRange,
+                    0x00000000,         // Granularity
+                    0x00000000,         // Range Minimum
+                    0x00000CF7,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00000CF8,         // Length
+                    ,, , TypeStatic)
+                IO (Decode16,
+                    0x0CF8,             // Range Minimum
+                    0x0CF8,             // Range Maximum
+                    0x01,               // Alignment
+                    0x08,               // Length
+                    )
+                DWordIO (ResourceProducer, MinFixed, MaxFixed, PosDecode, EntireRange,
+                    0x00000000,         // Granularity
+                    0x00000D00,         // Range Minimum
+                    0x0000FFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x0000F300,         // Length
+                    ,, , TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000A0000,         // Range Minimum
+                    0x000BFFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00020000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000C0000,         // Range Minimum
+                    0x000C3FFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000C4000,         // Range Minimum
+                    0x000C7FFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000C8000,         // Range Minimum
+                    0x000CBFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000CC000,         // Range Minimum
+                    0x000CFFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000D0000,         // Range Minimum
+                    0x000D3FFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000D4000,         // Range Minimum
+                    0x000D7FFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000D8000,         // Range Minimum
+                    0x000DBFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000DC000,         // Range Minimum
+                    0x000DFFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000E0000,         // Range Minimum
+                    0x000E3FFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000E4000,         // Range Minimum
+                    0x000E7FFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000E8000,         // Range Minimum
+                    0x000EBFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000EC000,         // Range Minimum
+                    0x000EFFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00004000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x000F0000,         // Range Minimum
+                    0x000FFFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0x00010000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x00000000,         // Granularity
+                    0x00000000,         // Range Minimum
+                    0xFEAFFFFF,         // Range Maximum
+                    0x00000000,         // Translation Offset
+                    0xFEB00000,         // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+                QWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed, Cacheable, ReadWrite,
+                    0x0000000000000000, // Granularity
+                    0x0000000000010000, // Range Minimum
+                    0x000000000001FFFF, // Range Maximum
+                    0x0000000000000000, // Translation Offset
+                    0x0000000000010000, // Length
+                    ,, , AddressRangeMemory, TypeStatic)
+            })
+            Method (_CRS, 0, Serialized)
+            {
+                CreateWordField (BUF0, 0x0A, PBMX)
+                Store (Subtract (ShiftRight (PELN, 0x14), 0x02), PBMX)
+                CreateWordField (BUF0, 0x0E, PBLN)
+                Store (Subtract (ShiftRight (PELN, 0x14), One), PBLN)
+                If (PM1L)
+                {
+                    CreateDWordField (BUF0, 0x7C, C0LN)
+                    Store (Zero, C0LN)
+                }
+
+                If (LEqual (PM1L, One))
+                {
+                    CreateBitField (BUF0, 0x0358, C0RW)
+                    Store (Zero, C0RW)
+                }
+
+                If (PM1H)
+                {
+                    CreateDWordField (BUF0, 0x96, C4LN)
+                    Store (Zero, C4LN)
+                }
+
+                If (LEqual (PM1H, One))
+                {
+                    CreateBitField (BUF0, 0x0428, C4RW)
+                    Store (Zero, C4RW)
+                }
+
+                If (PM2L)
+                {
+                    CreateDWordField (BUF0, 0xB0, C8LN)
+                    Store (Zero, C8LN)
+                }
+
+                If (LEqual (PM2L, One))
+                {
+                    CreateBitField (BUF0, 0x04F8, C8RW)
+                    Store (Zero, C8RW)
+                }
+
+                If (PM2H)
+                {
+                    CreateDWordField (BUF0, 0xCA, CCLN)
+                    Store (Zero, CCLN)
+                }
+
+                If (LEqual (PM2H, One))
+                {
+                    CreateBitField (BUF0, 0x05C8, CCRW)
+                    Store (Zero, CCRW)
+                }
+
+                If (PM3L)
+                {
+                    CreateDWordField (BUF0, 0xE4, D0LN)
+                    Store (Zero, D0LN)
+                }
+
+                If (LEqual (PM3L, One))
+                {
+                    CreateBitField (BUF0, 0x0698, D0RW)
+                    Store (Zero, D0RW)
+                }
+
+                If (PM3H)
+                {
+                    CreateDWordField (BUF0, 0xFE, D4LN)
+                    Store (Zero, D4LN)
+                }
+
+                If (LEqual (PM3H, One))
+                {
+                    CreateBitField (BUF0, 0x0768, D4RW)
+                    Store (Zero, D4RW)
+                }
+
+                If (PM4L)
+                {
+                    CreateDWordField (BUF0, 0x0118, D8LN)
+                    Store (Zero, D8LN)
+                }
+
+                If (LEqual (PM4L, One))
+                {
+                    CreateBitField (BUF0, 0x0838, D8RW)
+                    Store (Zero, D8RW)
+                }
+
+                If (PM4H)
+                {
+                    CreateDWordField (BUF0, 0x0132, DCLN)
+                    Store (Zero, DCLN)
+                }
+
+                If (LEqual (PM4H, One))
+                {
+                    CreateBitField (BUF0, 0x0908, DCRW)
+                    Store (Zero, DCRW)
+                }
+
+                If (PM5L)
+                {
+                    CreateDWordField (BUF0, 0x014C, E0LN)
+                    Store (Zero, E0LN)
+                }
+
+                If (LEqual (PM5L, One))
+                {
+                    CreateBitField (BUF0, 0x09D8, E0RW)
+                    Store (Zero, E0RW)
+                }
+
+                If (PM5H)
+                {
+                    CreateDWordField (BUF0, 0x0166, E4LN)
+                    Store (Zero, E4LN)
+                }
+
+                If (LEqual (PM5H, One))
+                {
+                    CreateBitField (BUF0, 0x0AA8, E4RW)
+                    Store (Zero, E4RW)
+                }
+
+                If (PM6L)
+                {
+                    CreateDWordField (BUF0, 0x0180, E8LN)
+                    Store (Zero, E8LN)
+                }
+
+                If (LEqual (PM6L, One))
+                {
+                    CreateBitField (BUF0, 0x0B78, E8RW)
+                    Store (Zero, E8RW)
+                }
+
+                If (PM6H)
+                {
+                    CreateDWordField (BUF0, 0x019A, ECLN)
+                    Store (Zero, ECLN)
+                }
+
+                If (LEqual (PM6H, One))
+                {
+                    CreateBitField (BUF0, 0x0C48, ECRW)
+                    Store (Zero, ECRW)
+                }
+
+                If (PM0H)
+                {
+                    CreateDWordField (BUF0, 0x01B4, F0LN)
+                    Store (Zero, F0LN)
+                }
+
+                If (LEqual (PM0H, One))
+                {
+                    CreateBitField (BUF0, 0x0D18, F0RW)
+                    Store (Zero, F0RW)
+                }
+
+                CreateDWordField (BUF0, 0x01C2, M1MN)
+                CreateDWordField (BUF0, 0x01C6, M1MX)
+                CreateDWordField (BUF0, 0x01CE, M1LN)
+                ShiftLeft (TLUD, 0x14, M1MN)
+                Add (Subtract (M1MX, M1MN), One, M1LN)
+                If (LOr (LEqual (MM64, Zero), LLessEqual (OSYS, 0x07D3)))
+                {
+                    CreateDWordField (BUF0, 0x01F8, MSLN)
+                    Store (Zero, MSLN)
+                }
+                Else
+                {
+                    CreateQWordField (BUF0, 0x01F8, M2LN)
+                    CreateQWordField (BUF0, 0x01E0, M2MN)
+                    CreateQWordField (BUF0, 0x01E8, M2MX)
+                    Store (0x0000000400000000, M2LN)
+                    If (LGreaterEqual (TUUD, 0x1000))
+                    {
+                        ShiftLeft (TUUD, 0x14, M2MN)
+                    }
+                    Else
+                    {
+                        Store (0x0000000100000000, M2MN)
+                    }
+
+                    Subtract (Add (M2MN, M2LN), One, M2MX)
+                }
+
+                Return (BUF0)
+            }
+
+            Name (GUID, Buffer (0x10)
+            {
+                /* 0000 */    0x5B, 0x4D, 0xDB, 0x33, 0xF7, 0x1F, 0x1C, 0x40, 
+                /* 0008 */    0x96, 0x57, 0x74, 0x41, 0xC0, 0x3D, 0xD7, 0x66
+            })
+            Name (SUPP, Zero)
+            Name (CTRL, Zero)
+            Name (XCNT, Zero)
+            Method (_OSC, 4, Serialized)
+            {
+                Store (Arg3, Local0)
+                CreateDWordField (Local0, Zero, CDW1)
+                CreateDWordField (Local0, 0x04, CDW2)
+                CreateDWordField (Local0, 0x08, CDW3)
+                If (^XHC.CUID (Arg0))
+                {
+                    Return (^XHC.POSC (Arg1, Arg2, Arg3))
+                }
+                Else
+                {
+                    If (_OSI ("Windows 2012"))
+                    {
+                        If (LEqual (XCNT, Zero))
+                        {
+                            ^XHC.XSEL ()
+                            Increment (XCNT)
+                        }
+                    }
+                }
+
+                If (LEqual (Arg0, GUID))
+                {
+                    Store (CDW2, SUPP)
+                    Store (CDW3, CTRL)
+                    If (LEqual (NEXP, Zero))
+                    {
+                        And (CTRL, 0xFFFFFFF8, CTRL)
+                    }
+
+                    If (NEXP)
+                    {
+                        If (Not (And (CDW1, One)))
+                        {
+                            If (And (CTRL, One))
+                            {
+                                NHPG ()
+                            }
+
+                            If (And (CTRL, 0x04))
+                            {
+                                NPME ()
+                            }
+                        }
+                    }
+
+                    If (LNotEqual (Arg1, One))
+                    {
+                        Or (CDW1, 0x08, CDW1)
+                    }
+
+                    If (LNotEqual (CDW3, CTRL))
+                    {
+                        Or (CDW1, 0x10, CDW1)
+                    }
+
+                    Store (CTRL, CDW3)
+                    Store (CTRL, OSCC)
+                    Return (Local0)
+                }
+                Else
+                {
+                    Or (CDW1, 0x04, CDW1)
+                    Return (Local0)
+                }
+            }
+
+            Scope (\_SB.PCI0)
+            {
+                Method (AR00, 0, NotSerialized)
+                {
+                    Return (^^AR00)
+                }
+
+                Method (PR00, 0, NotSerialized)
+                {
+                    Return (^^PR00)
+                }
+
+                Method (AR01, 0, NotSerialized)
+                {
+                    Return (^^AR01)
+                }
+
+                Method (PR01, 0, NotSerialized)
+                {
+                    Return (^^PR01)
+                }
+
+                Method (AR02, 0, NotSerialized)
+                {
+                    Return (^^AR02)
+                }
+
+                Method (PR02, 0, NotSerialized)
+                {
+                    Return (^^PR02)
+                }
+
+                Method (AR04, 0, NotSerialized)
+                {
+                    Return (^^AR04)
+                }
+
+                Method (PR04, 0, NotSerialized)
+                {
+                    Return (^^PR04)
+                }
+
+                Method (AR05, 0, NotSerialized)
+                {
+                    Return (^^AR05)
+                }
+
+                Method (PR05, 0, NotSerialized)
+                {
+                    Return (^^PR05)
+                }
+
+                Method (AR06, 0, NotSerialized)
+                {
+                    Return (^^AR06)
+                }
+
+                Method (PR06, 0, NotSerialized)
+                {
+                    Return (^^PR06)
+                }
+
+                Method (AR07, 0, NotSerialized)
+                {
+                    Return (^^AR07)
+                }
+
+                Method (PR07, 0, NotSerialized)
+                {
+                    Return (^^PR07)
+                }
+
+                Method (AR08, 0, NotSerialized)
+                {
+                    Return (^^AR08)
+                }
+
+                Method (PR08, 0, NotSerialized)
+                {
+                    Return (^^PR08)
+                }
+
+                Method (AR09, 0, NotSerialized)
+                {
+                    Return (^^AR09)
+                }
+
+                Method (PR09, 0, NotSerialized)
+                {
+                    Return (^^PR09)
+                }
+
+                Method (AR0E, 0, NotSerialized)
+                {
+                    Return (^^AR0E)
+                }
+
+                Method (PR0E, 0, NotSerialized)
+                {
+                    Return (^^PR0E)
+                }
+
+                Method (AR0F, 0, NotSerialized)
+                {
+                    Return (^^AR0F)
+                }
+
+                Method (PR0F, 0, NotSerialized)
+                {
+                    Return (^^PR0F)
+                }
+
+                Method (AR0A, 0, NotSerialized)
+                {
+                    Return (^^AR0A)
+                }
+
+                Method (PR0A, 0, NotSerialized)
+                {
+                    Return (^^PR0A)
+                }
+
+                Method (AR0B, 0, NotSerialized)
+                {
+                    Return (^^AR0B)
+                }
+
+                Method (PR0B, 0, NotSerialized)
+                {
+                    Return (^^PR0B)
+                }
+
+                Method (AR0C, 0, NotSerialized)
+                {
+                    Return (^^AR0C)
+                }
+
+                Method (PR0C, 0, NotSerialized)
+                {
+                    Return (^^PR0C)
+                }
+            }
+
+            Device (TPMX)
+            {
+                Name (_HID, EisaId ("PNP0C01"))
+                Name (_UID, One)
+                Name (CRS, ResourceTemplate ()
+                {
+                    Memory32Fixed (ReadOnly,
+                        0xFED40000,         // Address Base
+                        0x00005000,         // Address Length
+                        )
+                })
+                Method (_CRS, 0, NotSerialized)
+                {
+                    Return (CRS)
+                }
+
+                Method (_STA, 0, NotSerialized)
+                {
+                    If (TPMF)
+                    {
+                        Return (Zero)
+                    }
+
+                    Return (0x0F)
+                }
+            }
+
+            Device (LPCB)
+            {
+                Name (_ADR, 0x001F0000)
+                Scope (\_SB)
+                {
+                    OperationRegion (PCI0.LPCB.LPC1, PCI_Config, 0x40, 0xC0)
+                    Field (PCI0.LPCB.LPC1, AnyAcc, NoLock, Preserve)
+                    {
+                                Offset (0x20), 
+                        PARC,   8, 
+                        PBRC,   8, 
+                        PCRC,   8, 
+                        PDRC,   8, 
+                                Offset (0x28), 
+                        PERC,   8, 
+                        PFRC,   8, 
+                        PGRC,   8, 
+                        PHRC,   8, 
+                                Offset (0x6C), 
+                                Offset (0x6D), 
+                                Offset (0x6E), 
+                        XUSB,   1
+                    }
+
+                    Device (LNKA)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, One)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PARC, 0x80, PARC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSA)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLA, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLA, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PARC, 0x0F), IRQ0)
+                            Return (RTLA)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PARC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PARC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKB)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x02)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PBRC, 0x80, PBRC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSB)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLB, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLB, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PBRC, 0x0F), IRQ0)
+                            Return (RTLB)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PBRC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PBRC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKC)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x03)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PCRC, 0x80, PCRC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSC)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLC, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLC, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PCRC, 0x0F), IRQ0)
+                            Return (RTLC)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PCRC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PCRC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKD)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x04)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PDRC, 0x80, PDRC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSD)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLD, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLD, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PDRC, 0x0F), IRQ0)
+                            Return (RTLD)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PDRC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PDRC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKE)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x05)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PERC, 0x80, PERC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSE)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLE, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLE, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PERC, 0x0F), IRQ0)
+                            Return (RTLE)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PERC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PERC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKF)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x06)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PFRC, 0x80, PFRC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSF)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLF, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLF, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PFRC, 0x0F), IRQ0)
+                            Return (RTLF)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PFRC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PFRC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKG)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x07)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PGRC, 0x80, PGRC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSG)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLG, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLG, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PGRC, 0x0F), IRQ0)
+                            Return (RTLG)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PGRC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PGRC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+
+                    Device (LNKH)
+                    {
+                        Name (_HID, EisaId ("PNP0C0F"))
+                        Name (_UID, 0x08)
+                        Method (_DIS, 0, Serialized)
+                        {
+                            Or (PHRC, 0x80, PHRC)
+                        }
+
+                        Method (_PRS, 0, Serialized)
+                        {
+                            Return (PRSH)
+                        }
+
+                        Method (_CRS, 0, Serialized)
+                        {
+                            Name (RTLH, ResourceTemplate ()
+                            {
+                                IRQ (Level, ActiveLow, Shared, )
+                                    {}
+                            })
+                            CreateWordField (RTLH, One, IRQ0)
+                            Store (Zero, IRQ0)
+                            ShiftLeft (One, And (PHRC, 0x0F), IRQ0)
+                            Return (RTLH)
+                        }
+
+                        Method (_SRS, 1, Serialized)
+                        {
+                            CreateWordField (Arg0, One, IRQ0)
+                            FindSetRightBit (IRQ0, Local0)
+                            Decrement (Local0)
+                            Store (Local0, PHRC)
+                        }
+
+                        Method (_STA, 0, Serialized)
+                        {
+                            If (And (PHRC, 0x80))
+                            {
+                                Return (0x09)
+                            }
+                            Else
+                            {
+                                Return (0x0B)
+                            }
+                        }
+                    }
+                }
+
+                OperationRegion (LPC0, PCI_Config, 0x40, 0xC0)
+                Field (LPC0, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x40), 
+                    IOD0,   8, 
+                    IOD1,   8, 
+                            Offset (0xB0), 
+                    RAEN,   1, 
+                        ,   13, 
+                    RCBA,   18
+                }
+
+                Device (DMAC)
+                {
+                    Name (_HID, EisaId ("PNP0200"))
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x01,               // Alignment
+                            0x20,               // Length
+                            )
+                        IO (Decode16,
+                            0x0081,             // Range Minimum
+                            0x0081,             // Range Maximum
+                            0x01,               // Alignment
+                            0x11,               // Length
+                            )
+                        IO (Decode16,
+                            0x0093,             // Range Minimum
+                            0x0093,             // Range Maximum
+                            0x01,               // Alignment
+                            0x0D,               // Length
+                            )
+                        IO (Decode16,
+                            0x00C0,             // Range Minimum
+                            0x00C0,             // Range Maximum
+                            0x01,               // Alignment
+                            0x20,               // Length
+                            )
+                        DMA (Compatibility, NotBusMaster, Transfer8_16, )
+                            {4}
+                    })
+                }
+
+                Device (FWHD)
+                {
+                    Name (_HID, EisaId ("INT0800"))
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        Memory32Fixed (ReadOnly,
+                            0xFF000000,         // Address Base
+                            0x01000000,         // Address Length
+                            )
+                    })
+                }
+
+                Device (HPET)
+                {
+                    Name (_HID, EisaId ("PNP0103"))
+                    Name (_UID, Zero)
+                    Name (BUF0, ResourceTemplate ()
+                    {
+                        Memory32Fixed (ReadWrite,
+                            0xFED00000,         // Address Base
+                            0x00000400,         // Address Length
+                            )
+                    })
+                    Method (_STA, 0, NotSerialized)
+                    {
+                        If (LGreaterEqual (OSYS, 0x07D1))
+                        {
+                            If (HPAE)
+                            {
+                                Return (0x0F)
+                            }
+                        }
+                        Else
+                        {
+                            If (HPAE)
+                            {
+                                Return (0x0B)
+                            }
+                        }
+
+                        Return (Zero)
+                    }
+
+                    Method (_CRS, 0, Serialized)
+                    {
+                        If (HPAE)
+                        {
+                            CreateDWordField (BUF0, 0x04, HPT0)
+                            If (LEqual (HPAS, One))
+                            {
+                                Store (0xFED01000, HPT0)
+                            }
+
+                            If (LEqual (HPAS, 0x02))
+                            {
+                                Store (0xFED02000, HPT0)
+                            }
+
+                            If (LEqual (HPAS, 0x03))
+                            {
+                                Store (0xFED03000, HPT0)
+                            }
+                        }
+
+                        Return (BUF0)
+                    }
+                }
+
+                Device (IPIC)
+                {
+                    Name (_HID, EisaId ("PNP0000"))
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0020,             // Range Minimum
+                            0x0020,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0024,             // Range Minimum
+                            0x0024,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0028,             // Range Minimum
+                            0x0028,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x002C,             // Range Minimum
+                            0x002C,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0030,             // Range Minimum
+                            0x0030,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0034,             // Range Minimum
+                            0x0034,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0038,             // Range Minimum
+                            0x0038,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x003C,             // Range Minimum
+                            0x003C,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00A0,             // Range Minimum
+                            0x00A0,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00A4,             // Range Minimum
+                            0x00A4,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00A8,             // Range Minimum
+                            0x00A8,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00AC,             // Range Minimum
+                            0x00AC,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00B0,             // Range Minimum
+                            0x00B0,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00B4,             // Range Minimum
+                            0x00B4,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00B8,             // Range Minimum
+                            0x00B8,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x00BC,             // Range Minimum
+                            0x00BC,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x04D0,             // Range Minimum
+                            0x04D0,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IRQNoFlags ()
+                            {2}
+                    })
+                }
+
+                Device (LDRC)
+                {
+                    Name (_HID, EisaId ("PNP0C02"))
+                    Name (_UID, 0x02)
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x002E,             // Range Minimum
+                            0x002E,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x004E,             // Range Minimum
+                            0x004E,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0061,             // Range Minimum
+                            0x0061,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0063,             // Range Minimum
+                            0x0063,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0065,             // Range Minimum
+                            0x0065,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0067,             // Range Minimum
+                            0x0067,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0070,             // Range Minimum
+                            0x0070,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0080,             // Range Minimum
+                            0x0080,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0092,             // Range Minimum
+                            0x0092,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x00B2,             // Range Minimum
+                            0x00B2,             // Range Maximum
+                            0x01,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0680,             // Range Minimum
+                            0x0680,             // Range Maximum
+                            0x01,               // Alignment
+                            0x20,               // Length
+                            )
+                        IO (Decode16,
+                            0x0200,             // Range Minimum
+                            0x0200,             // Range Maximum
+                            0x01,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0xFFFF,             // Range Minimum
+                            0xFFFF,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0xFFFF,             // Range Minimum
+                            0xFFFF,             // Range Maximum
+                            0x01,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0400,             // Range Minimum
+                            0x0400,             // Range Maximum
+                            0x01,               // Alignment
+                            0x54,               // Length
+                            )
+                        IO (Decode16,
+                            0x0458,             // Range Minimum
+                            0x0458,             // Range Maximum
+                            0x01,               // Alignment
+                            0x28,               // Length
+                            )
+                        IO (Decode16,
+                            0x0500,             // Range Minimum
+                            0x0500,             // Range Maximum
+                            0x01,               // Alignment
+                            0x80,               // Length
+                            )
+                    })
+                }
+
+                Device (RTC)
+                {
+                    Name (_HID, EisaId ("PNP0B00"))
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0070,             // Range Minimum
+                            0x0070,             // Range Maximum
+                            0x01,               // Alignment
+                            0x08,               // Length
+                            )
+                        IRQNoFlags ()
+                            {8}
+                    })
+                }
+
+                Device (TIMR)
+                {
+                    Name (_HID, EisaId ("PNP0100"))
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0040,             // Range Minimum
+                            0x0040,             // Range Maximum
+                            0x01,               // Alignment
+                            0x04,               // Length
+                            )
+                        IO (Decode16,
+                            0x0050,             // Range Minimum
+                            0x0050,             // Range Maximum
+                            0x10,               // Alignment
+                            0x04,               // Length
+                            )
+                        IRQNoFlags ()
+                            {0}
+                    })
+                }
+
+                Device (CWDT)
+                {
+                    Name (_HID, EisaId ("INT3F0D"))
+                    Name (_CID, EisaId ("PNP0C02"))
+                    Name (BUF0, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0454,             // Range Minimum
+                            0x0454,             // Range Maximum
+                            0x04,               // Alignment
+                            0x04,               // Length
+                            )
+                    })
+                    Method (_STA, 0, Serialized)
+                    {
+                        If (LEqual (WDTE, One))
+                        {
+                            Return (0x0F)
+                        }
+                        Else
+                        {
+                            Return (Zero)
+                        }
+                    }
+
+                    Method (_CRS, 0, Serialized)
+                    {
+                        Return (BUF0)
+                    }
+                }
+
+                Device (SIO1)
+                {
+                    Name (_HID, EisaId ("PNP0C02"))
+                    Name (_UID, 0x0111)
+                    Name (CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x00,               // Alignment
+                            0x00,               // Length
+                            )
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x00,               // Alignment
+                            0x00,               // Length
+                            )
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x00,               // Alignment
+                            0x00,               // Length
+                            )
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x00,               // Alignment
+                            0x00,               // Length
+                            )
+                    })
+                    Method (_CRS, 0, NotSerialized)
+                    {
+                        If (LAnd (LLess (SP1O, 0x03F0), LGreater (SP1O, 0xF0)))
+                        {
+                            CreateWordField (CRS, 0x02, IOIM)
+                            CreateWordField (CRS, 0x04, IOIX)
+                            CreateByteField (CRS, 0x07, IOIL)
+                            Store (SP1O, IOIM)
+                            Store (SP1O, IOIX)
+                            Store (0x02, IOIL)
+                        }
+
+                        If (GPIB)
+                        {
+                            CreateWordField (CRS, 0x0A, GP0M)
+                            CreateWordField (CRS, 0x0C, GP0X)
+                            CreateByteField (CRS, 0x0F, GP0L)
+                            Store (GPIB, GP0M)
+                            Store (GPIB, GP0X)
+                            Store (GPIL, GP0L)
+                        }
+
+                        If (HWMB)
+                        {
+                            CreateWordField (CRS, 0x12, HW0M)
+                            CreateWordField (CRS, 0x14, HW0X)
+                            CreateByteField (CRS, 0x17, HW0L)
+                            Store (HWMB, HW0M)
+                            Store (HWMB, HW0X)
+                            Store (HWML, HW0L)
+                        }
+
+                        If (PMEB)
+                        {
+                            CreateWordField (CRS, 0x1A, PM0M)
+                            CreateWordField (CRS, 0x1C, PM0X)
+                            CreateByteField (CRS, 0x1F, PM0L)
+                            Store (PMEB, PM0M)
+                            Store (PMEB, PM0X)
+                            Store (PMEL, PM0L)
+                        }
+
+                        Return (CRS)
+                    }
+
+                    Name (DCAT, Package (0x16)
+                    {
+                        One, 
+                        0x02, 
+                        0x03, 
+                        Zero, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF, 
+                        0x05, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF, 
+                        0x06, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF, 
+                        0x0A, 
+                        0xFF, 
+                        0xFF, 
+                        0xFF
+                    })
+                    Mutex (MUT0, 0x00)
+                    Method (ENFG, 1, NotSerialized)
+                    {
+                        Acquire (MUT0, 0x0FFF)
+                        Store (0x87, INDX)
+                        Store (One, INDX)
+                        Store (0x55, INDX)
+                        If (LEqual (SP1O, 0x2E))
+                        {
+                            Store (0x55, INDX)
+                        }
+                        Else
+                        {
+                            Store (0xAA, INDX)
+                        }
+
+                        Store (Arg0, LDN)
+                    }
+
+                    Method (EXFG, 0, NotSerialized)
+                    {
+                        Store (0x02, INDX)
+                        Store (0x02, DATA)
+                        Release (MUT0)
+                    }
+
+                    Method (LPTM, 1, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        And (OPT0, Arg0, Local0)
+                        EXFG ()
+                        Return (Local0)
+                    }
+
+                    Method (UHID, 1, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        And (OPT0, 0x70, Local0)
+                        EXFG ()
+                        If (Local0)
+                        {
+                            Return (0x1005D041)
+                        }
+                        Else
+                        {
+                            Return (0x0105D041)
+                        }
+                    }
+
+                    OperationRegion (IOID, SystemIO, SP1O, 0x02)
+                    Field (IOID, ByteAcc, NoLock, Preserve)
+                    {
+                        INDX,   8, 
+                        DATA,   8
+                    }
+
+                    IndexField (INDX, DATA, ByteAcc, NoLock, Preserve)
+                    {
+                                Offset (0x07), 
+                        LDN,    8, 
+                                Offset (0x21), 
+                        SCF1,   8, 
+                        SCF2,   8, 
+                        SCF3,   8, 
+                        SCF4,   8, 
+                        SCF5,   8, 
+                        SCF6,   8, 
+                                Offset (0x29), 
+                        CKCF,   8, 
+                                Offset (0x30), 
+                        ACTR,   8, 
+                                Offset (0x60), 
+                        IOAH,   8, 
+                        IOAL,   8, 
+                        IOH2,   8, 
+                        IOL2,   8, 
+                                Offset (0x70), 
+                        INTR,   8, 
+                                Offset (0x74), 
+                        DMCH,   8, 
+                                Offset (0xE0), 
+                        RGE0,   8, 
+                        RGE1,   8, 
+                        RGE2,   8, 
+                        RGE3,   8, 
+                        RGE4,   8, 
+                        RGE5,   8, 
+                        RGE6,   8, 
+                        RGE7,   8, 
+                        RGE8,   8, 
+                        RGE9,   8, 
+                                Offset (0xF0), 
+                        OPT0,   8, 
+                        OPT1,   8, 
+                        OPT2,   8, 
+                        OPT3,   8, 
+                        OPT4,   8, 
+                        OPT5,   8, 
+                        OPT6,   8, 
+                        OPT7,   8, 
+                        OPT8,   8, 
+                        OPT9,   8
+                    }
+
+                    OperationRegion (RNTR, SystemIO, PMEB, PMEL)
+                    Field (RNTR, ByteAcc, NoLock, Preserve)
+                    {
+                        PMES,   8, 
+                                Offset (0x04), 
+                        PMEE,   1, 
+                                Offset (0x05), 
+                                Offset (0x08), 
+                        PMS3,   8, 
+                        PMS2,   8, 
+                        PMS1,   8, 
+                                Offset (0x0C), 
+                        PME3,   8, 
+                        PME2,   8, 
+                        PME1,   8
+                    }
+
+                    Method (CGLD, 1, NotSerialized)
+                    {
+                        Return (DerefOf (Index (DCAT, Arg0)))
+                    }
+
+                    Method (DSTA, 1, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        Store (ACTR, Local0)
+                        EXFG ()
+                        If (LEqual (Local0, 0xFF))
+                        {
+                            Return (Zero)
+                        }
+
+                        And (Local0, One, Local0)
+                        If (LGreaterEqual (Arg0, 0x10))
+                        {
+                            Or (IOES, ShiftLeft (Local0, And (Arg0, 0x0F)), IOES)
+                        }
+                        Else
+                        {
+                            Or (IOST, ShiftLeft (Local0, Arg0), IOST)
+                        }
+
+                        If (Local0)
+                        {
+                            Return (0x0F)
+                        }
+                        Else
+                        {
+                            If (LGreaterEqual (Arg0, 0x10))
+                            {
+                                Store (IOES, Local0)
+                            }
+                            Else
+                            {
+                                Store (IOST, Local0)
+                            }
+
+                            And (Arg0, 0x0F, Local1)
+                            If (And (ShiftLeft (One, Local1), Local0))
+                            {
+                                Return (0x0D)
+                            }
+                            Else
+                            {
+                                Return (Zero)
+                            }
+                        }
+                    }
+
+                    Method (DCNT, 2, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        If (LAnd (LLess (DMCH, 0x04), LNotEqual (And (DMCH, 0x03, 
+                            Local1), Zero)))
+                        {
+                            RDMA (Arg0, Arg1, Increment (Local1))
+                        }
+
+                        Store (Arg1, ACTR)
+                        ShiftLeft (IOAH, 0x08, Local1)
+                        Or (IOAL, Local1, Local1)
+                        RRIO (Arg0, Arg1, Local1, 0x08)
+                        EXFG ()
+                    }
+
+                    Name (CRS1, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x01,               // Alignment
+                            0x00,               // Length
+                            )
+                        IRQNoFlags ()
+                            {}
+                        DMA (Compatibility, NotBusMaster, Transfer8, )
+                            {}
+                    })
+                    CreateWordField (CRS1, 0x09, IRQM)
+                    CreateByteField (CRS1, 0x0C, DMAM)
+                    CreateWordField (CRS1, 0x02, IO11)
+                    CreateWordField (CRS1, 0x04, IO12)
+                    CreateByteField (CRS1, 0x07, LEN1)
+                    Name (CRS2, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x01,               // Alignment
+                            0x00,               // Length
+                            )
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x01,               // Alignment
+                            0x00,               // Length
+                            )
+                        IRQNoFlags ()
+                            {}
+                        DMA (Compatibility, NotBusMaster, Transfer8, )
+                            {2}
+                    })
+                    CreateWordField (CRS2, 0x11, IRQE)
+                    CreateByteField (CRS2, 0x14, DMAE)
+                    CreateWordField (CRS2, 0x02, IO21)
+                    CreateWordField (CRS2, 0x04, IO22)
+                    CreateByteField (CRS2, 0x07, LEN2)
+                    CreateWordField (CRS2, 0x0A, IO31)
+                    CreateWordField (CRS2, 0x0C, IO32)
+                    CreateByteField (CRS2, 0x0F, LEN3)
+                    Name (CRS4, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0000,             // Range Minimum
+                            0x0000,             // Range Maximum
+                            0x01,               // Alignment
+                            0x00,               // Length
+                            )
+                        IRQ (Edge, ActiveLow, Shared, )
+                            {}
+                    })
+                    CreateWordField (CRS4, 0x09, IRQL)
+                    CreateWordField (CRS4, 0x02, IOHL)
+                    CreateWordField (CRS4, 0x04, IORL)
+                    CreateByteField (CRS4, 0x06, ALMN)
+                    CreateByteField (CRS4, 0x07, LENG)
+                    Method (DCRS, 2, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        ShiftLeft (IOAH, 0x08, IO11)
+                        Or (IOAL, IO11, IO11)
+                        Store (IO11, IO12)
+                        Store (0x08, LEN1)
+                        If (INTR)
+                        {
+                            ShiftLeft (One, INTR, IRQM)
+                        }
+                        Else
+                        {
+                            Store (Zero, IRQM)
+                        }
+
+                        If (LOr (LGreater (DMCH, 0x03), LEqual (Arg1, Zero)))
+                        {
+                            Store (Zero, DMAM)
+                        }
+                        Else
+                        {
+                            And (DMCH, 0x03, Local1)
+                            ShiftLeft (One, Local1, DMAM)
+                        }
+
+                        EXFG ()
+                        Return (CRS1)
+                    }
+
+                    Method (DCR2, 2, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        ShiftLeft (IOAH, 0x08, IO21)
+                        Or (IOAL, IO21, IO21)
+                        Store (IO21, IO22)
+                        Store (0x08, LEN2)
+                        ShiftLeft (IOH2, 0x08, IO31)
+                        Or (IOL2, IO31, IO31)
+                        Store (IO21, IO32)
+                        Store (0x08, LEN3)
+                        If (INTR)
+                        {
+                            ShiftLeft (One, INTR, IRQE)
+                        }
+                        Else
+                        {
+                            Store (Zero, IRQE)
+                        }
+
+                        If (LOr (LGreater (DMCH, 0x03), LEqual (Arg1, Zero)))
+                        {
+                            Store (Zero, DMAE)
+                        }
+                        Else
+                        {
+                            And (DMCH, 0x03, Local1)
+                            ShiftLeft (One, Local1, DMAE)
+                        }
+
+                        EXFG ()
+                        Return (CRS2)
+                    }
+
+                    Method (DCR4, 2, NotSerialized)
+                    {
+                        ENFG (CGLD (Arg0))
+                        ShiftLeft (IOAH, 0x08, IOHL)
+                        Or (IOAL, IOHL, IOHL)
+                        Store (IOHL, IORL)
+                        Store (0x08, LENG)
+                        If (INTR)
+                        {
+                            And (INTR, 0x0F, INTR)
+                            ShiftLeft (One, INTR, IRQL)
+                        }
+                        Else
+                        {
+                            Store (Zero, IRQL)
+                        }
+
+                        EXFG ()
+                        Return (CRS4)
+                    }
+
+                    Method (DSRS, 2, NotSerialized)
+                    {
+                        If (LEqual (Arg1, 0x02))
+                        {
+                            If (LPTM (Arg1))
+                            {
+                                DSR2 (Arg0, Arg1)
+                            }
+                        }
+                        Else
+                        {
+                            CreateWordField (Arg0, 0x09, IRQM)
+                            CreateByteField (Arg0, 0x0C, DMAM)
+                            CreateWordField (Arg0, 0x02, IO11)
+                            ENFG (CGLD (Arg1))
+                            And (IO11, 0xFF, IOAL)
+                            ShiftRight (IO11, 0x08, IOAH)
+                            If (IRQM)
+                            {
+                                FindSetRightBit (IRQM, Local0)
+                                Subtract (Local0, One, INTR)
+                            }
+                            Else
+                            {
+                                Store (Zero, INTR)
+                            }
+
+                            If (DMAM)
+                            {
+                                FindSetRightBit (DMAM, Local0)
+                                Subtract (Local0, One, DMCH)
+                            }
+                            Else
+                            {
+                                Store (0x04, DMCH)
+                            }
+
+                            EXFG ()
+                            DCNT (Arg1, One)
+                            Store (Arg1, Local2)
+                            If (LGreater (Local2, Zero))
+                            {
+                                Subtract (Local2, One, Local2)
+                            }
+                        }
+                    }
+
+                    Method (DSR2, 2, NotSerialized)
+                    {
+                        CreateWordField (Arg0, 0x11, IRQT)
+                        CreateByteField (Arg0, 0x14, DMAT)
+                        CreateWordField (Arg0, 0x02, IOT1)
+                        CreateWordField (Arg0, 0x0A, IOT2)
+                        ENFG (CGLD (Arg1))
+                        And (IOT1, 0xFF, IOAL)
+                        ShiftRight (IOT1, 0x08, IOAH)
+                        And (IOT2, 0xFF, IOL2)
+                        ShiftRight (IOT2, 0x08, IOH2)
+                        If (IRQT)
+                        {
+                            FindSetRightBit (IRQT, Local0)
+                            Subtract (Local0, One, INTR)
+                        }
+                        Else
+                        {
+                            Store (Zero, INTR)
+                        }
+
+                        If (DMAT)
+                        {
+                            FindSetRightBit (DMAT, Local0)
+                            Subtract (Local0, One, DMCH)
+                        }
+                        Else
+                        {
+                            Store (0x04, DMCH)
+                        }
+
+                        EXFG ()
+                        DCNT (Arg1, One)
+                        Store (Arg1, Local2)
+                        If (LGreater (Local2, Zero))
+                        {
+                            Subtract (Local2, One, Local2)
+                        }
+                    }
+
+                    Method (DSR4, 2, NotSerialized)
+                    {
+                        CreateWordField (Arg0, 0x02, IOHL)
+                        CreateWordField (Arg0, 0x09, IRQL)
+                        ENFG (CGLD (Arg1))
+                        And (IOHL, 0xFF, IOAL)
+                        ShiftRight (IOHL, 0x08, IOAH)
+                        If (IRQL)
+                        {
+                            FindSetRightBit (IRQL, Local0)
+                            Subtract (Local0, One, INTR)
+                        }
+                        Else
+                        {
+                            Store (Zero, INTR)
+                        }
+
+                        EXFG ()
+                        DCNT (Arg1, One)
+                        Store (Arg1, Local2)
+                        If (LGreater (Local2, Zero))
+                        {
+                            Subtract (Local2, One, Local2)
+                        }
+                    }
+                }
+
+                Name (PMFG, Zero)
+                Method (SIOS, 1, NotSerialized)
+                {
+                    Store ("SIOS", Debug)
+                    If (LNotEqual (0x05, Arg0))
+                    {
+                        ^SIO1.ENFG (0x04)
+                        If (KBFG)
+                        {
+                            Or (^SIO1.OPT0, 0x08, ^SIO1.OPT0)
+                        }
+                        Else
+                        {
+                            And (^SIO1.OPT0, 0xF7, ^SIO1.OPT0)
+                        }
+
+                        If (MSFG)
+                        {
+                            Or (^SIO1.OPT0, 0x10, ^SIO1.OPT0)
+                        }
+                        Else
+                        {
+                            And (^SIO1.OPT0, 0xEF, ^SIO1.OPT0)
+                        }
+
+                        Store (0xFF, ^SIO1.OPT1)
+                        And (0xBF, ^SIO1.OPT2, Local0)
+                        Store (Local0, ^SIO1.OPT2)
+                        Store (0x06, ^SIO1.LDN)
+                        Store (Zero, ^SIO1.ACTR)
+                        Store (0x05, ^SIO1.LDN)
+                        Store (Zero, ^SIO1.ACTR)
+                        ^SIO1.EXFG ()
+                    }
+                }
+
+                Method (SIOW, 1, NotSerialized)
+                {
+                    Store ("SIOW", Debug)
+                    ^SIO1.ENFG (0x04)
+                    Store (^SIO1.OPT1, PMFG)
+                    Store (0xFF, ^SIO1.OPT1)
+                    And (^SIO1.OPT0, 0xE7, ^SIO1.OPT0)
+                    Or (0x40, ^SIO1.OPT2, Local0)
+                    Store (Local0, ^SIO1.OPT2)
+                    Store (0x06, ^SIO1.LDN)
+                    Store (One, ^SIO1.ACTR)
+                    Store (0x05, ^SIO1.LDN)
+                    Store (One, ^SIO1.ACTR)
+                    ^SIO1.EXFG ()
+                }
+
+                Device (PS2K)
+                {
+                    Name (_HID, EisaId ("PNP0303"))
+                    Name (_CID, EisaId ("PNP030B"))
+                    Method (_STA, 0, NotSerialized)
+                    {
+                        If (And (IOST, 0x0400))
+                        {
+                            Return (0x0F)
+                        }
+                        Else
+                        {
+                            Return (Zero)
+                        }
+                    }
+
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0060,             // Range Minimum
+                            0x0060,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0064,             // Range Minimum
+                            0x0064,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IRQNoFlags ()
+                            {1}
+                    })
+                    Name (_PRS, ResourceTemplate ()
+                    {
+                        StartDependentFn (0x00, 0x00)
+                        {
+                            FixedIO (
+                                0x0060,             // Address
+                                0x01,               // Length
+                                )
+                            FixedIO (
+                                0x0064,             // Address
+                                0x01,               // Length
+                                )
+                            IRQNoFlags ()
+                                {1}
+                        }
+                        EndDependentFn ()
+                    })
+                    Method (_PSW, 1, NotSerialized)
+                    {
+                        Store (Arg0, KBFG)
+                    }
+                }
+
+                Scope (\)
+                {
+                    Name (KBFG, One)
+                }
+
+                Method (PS2K._PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x1D, 0x03))
+                }
+
+                Device (PS2M)
+                {
+                    Name (_HID, EisaId ("PNP0F03"))
+                    Name (_CID, EisaId ("PNP0F13"))
+                    Method (_STA, 0, NotSerialized)
+                    {
+                        If (And (IOST, 0x4000))
+                        {
+                            Return (0x0F)
+                        }
+                        Else
+                        {
+                            Return (Zero)
+                        }
+                    }
+
+                    Name (CRS1, ResourceTemplate ()
+                    {
+                        IRQNoFlags ()
+                            {12}
+                    })
+                    Name (CRS2, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0060,             // Range Minimum
+                            0x0060,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0064,             // Range Minimum
+                            0x0064,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IRQNoFlags ()
+                            {12}
+                    })
+                    Method (_CRS, 0, NotSerialized)
+                    {
+                        If (And (IOST, 0x0400))
+                        {
+                            Return (CRS1)
+                        }
+                        Else
+                        {
+                            Return (CRS2)
+                        }
+                    }
+
+                    Name (_PRS, ResourceTemplate ()
+                    {
+                        StartDependentFn (0x00, 0x00)
+                        {
+                            IRQNoFlags ()
+                                {12}
+                        }
+                        EndDependentFn ()
+                    })
+                    Method (_PSW, 1, NotSerialized)
+                    {
+                        Store (Arg0, MSFG)
+                    }
+                }
+
+                Scope (\)
+                {
+                    Name (MSFG, One)
+                }
+
+                Method (PS2M._PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x1D, 0x03))
+                }
+
+                Device (UAR1)
+                {
+                    Name (_UID, One)
+                    Method (_HID, 0, NotSerialized)
+                    {
+                        Return (^^SIO1.UHID (Zero))
+                    }
+
+                    Method (_STA, 0, NotSerialized)
+                    {
+                        Return (^^SIO1.DSTA (Zero))
+                    }
+
+                    Method (_DIS, 0, NotSerialized)
+                    {
+                        ^^SIO1.DCNT (Zero, Zero)
+                    }
+
+                    Method (_CRS, 0, NotSerialized)
+                    {
+                        Return (^^SIO1.DCRS (Zero, Zero))
+                    }
+
+                    Method (_SRS, 1, NotSerialized)
+                    {
+                        ^^SIO1.DSRS (Arg0, Zero)
+                    }
+
+                    Name (_PRS, ResourceTemplate ()
+                    {
+                        StartDependentFn (0x00, 0x00)
+                        {
+                            IO (Decode16,
+                                0x03F8,             // Range Minimum
+                                0x03F8,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {4}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x03F8,             // Range Minimum
+                                0x03F8,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {3,4,5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x02F8,             // Range Minimum
+                                0x02F8,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {3,4,5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x03E8,             // Range Minimum
+                                0x03E8,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {3,4,5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x02E8,             // Range Minimum
+                                0x02E8,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {3,4,5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {}
+                        }
+                        EndDependentFn ()
+                    })
+                }
+
+                Device (LPTE)
+                {
+                    Method (_HID, 0, NotSerialized)
+                    {
+                        If (^^SIO1.LPTM (0x02))
+                        {
+                            Return (0x0104D041)
+                        }
+                        Else
+                        {
+                            Return (0x0004D041)
+                        }
+                    }
+
+                    Method (_STA, 0, NotSerialized)
+                    {
+                        Return (^^SIO1.DSTA (0x02))
+                    }
+
+                    Method (_DIS, 0, NotSerialized)
+                    {
+                        ^^SIO1.DCNT (0x02, Zero)
+                    }
+
+                    Method (_CRS, 0, NotSerialized)
+                    {
+                        ^^SIO1.DCRS (0x02, One)
+                        If (^^SIO1.LPTM (0x02))
+                        {
+                            Store (^^SIO1.IRQM, ^^SIO1.IRQE)
+                            Store (^^SIO1.DMAM, ^^SIO1.DMAE)
+                            Store (^^SIO1.IO11, ^^SIO1.IO21)
+                            Store (^^SIO1.IO12, ^^SIO1.IO22)
+                            Store (^^SIO1.LEN1, ^^SIO1.LEN2)
+                            Add (^^SIO1.IO21, 0x0400, ^^SIO1.IO31)
+                            Store (^^SIO1.IO31, ^^SIO1.IO32)
+                            Store (^^SIO1.LEN2, ^^SIO1.LEN3)
+                            Return (^^SIO1.CRS2)
+                        }
+                        Else
+                        {
+                            Return (^^SIO1.CRS1)
+                        }
+                    }
+
+                    Method (_SRS, 1, NotSerialized)
+                    {
+                        ^^SIO1.DSRS (Arg0, 0x02)
+                    }
+
+                    Method (_PRS, 0, NotSerialized)
+                    {
+                        If (^^SIO1.LPTM (0x02))
+                        {
+                            Return (EPPR)
+                        }
+                        Else
+                        {
+                            Return (LPPR)
+                        }
+                    }
+
+                    Name (LPPR, ResourceTemplate ()
+                    {
+                        StartDependentFn (0x00, 0x00)
+                        {
+                            IO (Decode16,
+                                0x0378,             // Range Minimum
+                                0x0378,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0378,             // Range Minimum
+                                0x0378,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5,6,7,10,11,12}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0278,             // Range Minimum
+                                0x0278,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5,6,7,10,11,12}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x03BC,             // Range Minimum
+                                0x03BC,             // Range Maximum
+                                0x01,               // Alignment
+                                0x04,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5,6,7,10,11,12}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0378,             // Range Minimum
+                                0x0378,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0278,             // Range Minimum
+                                0x0278,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x03BC,             // Range Minimum
+                                0x03BC,             // Range Maximum
+                                0x01,               // Alignment
+                                0x04,               // Length
+                                )
+                            IRQNoFlags ()
+                                {}
+                        }
+                        EndDependentFn ()
+                    })
+                    Name (EPPR, ResourceTemplate ()
+                    {
+                        StartDependentFn (0x00, 0x00)
+                        {
+                            IO (Decode16,
+                                0x0378,             // Range Minimum
+                                0x0378,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IO (Decode16,
+                                0x0778,             // Range Minimum
+                                0x0778,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {3}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0378,             // Range Minimum
+                                0x0378,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IO (Decode16,
+                                0x0778,             // Range Minimum
+                                0x0778,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {1,3}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0278,             // Range Minimum
+                                0x0278,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IO (Decode16,
+                                0x0678,             // Range Minimum
+                                0x0678,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {1,3}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x03BC,             // Range Minimum
+                                0x03BC,             // Range Maximum
+                                0x01,               // Alignment
+                                0x04,               // Length
+                                )
+                            IO (Decode16,
+                                0x07BC,             // Range Minimum
+                                0x07BC,             // Range Maximum
+                                0x01,               // Alignment
+                                0x04,               // Length
+                                )
+                            IRQNoFlags ()
+                                {5,6,7,10,11,12}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {1,3}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0378,             // Range Minimum
+                                0x0378,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IO (Decode16,
+                                0x0778,             // Range Minimum
+                                0x0778,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {1,3}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x0278,             // Range Minimum
+                                0x0278,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IO (Decode16,
+                                0x0678,             // Range Minimum
+                                0x0678,             // Range Maximum
+                                0x01,               // Alignment
+                                0x08,               // Length
+                                )
+                            IRQNoFlags ()
+                                {}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {1,3}
+                        }
+                        StartDependentFnNoPri ()
+                        {
+                            IO (Decode16,
+                                0x03BC,             // Range Minimum
+                                0x03BC,             // Range Maximum
+                                0x01,               // Alignment
+                                0x04,               // Length
+                                )
+                            IO (Decode16,
+                                0x07BC,             // Range Minimum
+                                0x07BC,             // Range Maximum
+                                0x01,               // Alignment
+                                0x04,               // Length
+                                )
+                            IRQNoFlags ()
+                                {}
+                            DMA (Compatibility, NotBusMaster, Transfer8, )
+                                {1,3}
+                        }
+                        EndDependentFn ()
+                    })
+                }
+
+                Device (RMSC)
+                {
+                    Name (_HID, EisaId ("PNP0C02"))
+                    Name (_UID, 0x10)
+                    Name (CRS1, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0010,             // Range Minimum
+                            0x0010,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0x0022,             // Range Minimum
+                            0x0022,             // Range Maximum
+                            0x00,               // Alignment
+                            0x1E,               // Length
+                            )
+                        IO (Decode16,
+                            0x0044,             // Range Minimum
+                            0x0044,             // Range Maximum
+                            0x00,               // Alignment
+                            0x1C,               // Length
+                            )
+                        IO (Decode16,
+                            0x0062,             // Range Minimum
+                            0x0062,             // Range Maximum
+                            0x00,               // Alignment
+                            0x02,               // Length
+                            )
+                        IO (Decode16,
+                            0x0065,             // Range Minimum
+                            0x0065,             // Range Maximum
+                            0x00,               // Alignment
+                            0x0B,               // Length
+                            )
+                        IO (Decode16,
+                            0x0072,             // Range Minimum
+                            0x0072,             // Range Maximum
+                            0x00,               // Alignment
+                            0x0E,               // Length
+                            )
+                        IO (Decode16,
+                            0x0080,             // Range Minimum
+                            0x0080,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0084,             // Range Minimum
+                            0x0084,             // Range Maximum
+                            0x00,               // Alignment
+                            0x03,               // Length
+                            )
+                        IO (Decode16,
+                            0x0088,             // Range Minimum
+                            0x0088,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x008C,             // Range Minimum
+                            0x008C,             // Range Maximum
+                            0x00,               // Alignment
+                            0x03,               // Length
+                            )
+                        IO (Decode16,
+                            0x0090,             // Range Minimum
+                            0x0090,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0x00A2,             // Range Minimum
+                            0x00A2,             // Range Maximum
+                            0x00,               // Alignment
+                            0x1E,               // Length
+                            )
+                        IO (Decode16,
+                            0x00E0,             // Range Minimum
+                            0x00E0,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0x04D0,             // Range Minimum
+                            0x04D0,             // Range Maximum
+                            0x00,               // Alignment
+                            0x02,               // Length
+                            )
+                    })
+                    Name (CRS2, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x0010,             // Range Minimum
+                            0x0010,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0x0022,             // Range Minimum
+                            0x0022,             // Range Maximum
+                            0x00,               // Alignment
+                            0x1E,               // Length
+                            )
+                        IO (Decode16,
+                            0x0044,             // Range Minimum
+                            0x0044,             // Range Maximum
+                            0x00,               // Alignment
+                            0x1C,               // Length
+                            )
+                        IO (Decode16,
+                            0x0072,             // Range Minimum
+                            0x0072,             // Range Maximum
+                            0x00,               // Alignment
+                            0x0E,               // Length
+                            )
+                        IO (Decode16,
+                            0x0080,             // Range Minimum
+                            0x0080,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x0084,             // Range Minimum
+                            0x0084,             // Range Maximum
+                            0x00,               // Alignment
+                            0x03,               // Length
+                            )
+                        IO (Decode16,
+                            0x0088,             // Range Minimum
+                            0x0088,             // Range Maximum
+                            0x00,               // Alignment
+                            0x01,               // Length
+                            )
+                        IO (Decode16,
+                            0x008C,             // Range Minimum
+                            0x008C,             // Range Maximum
+                            0x00,               // Alignment
+                            0x03,               // Length
+                            )
+                        IO (Decode16,
+                            0x0090,             // Range Minimum
+                            0x0090,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0x00A2,             // Range Minimum
+                            0x00A2,             // Range Maximum
+                            0x00,               // Alignment
+                            0x1E,               // Length
+                            )
+                        IO (Decode16,
+                            0x00E0,             // Range Minimum
+                            0x00E0,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IO (Decode16,
+                            0x04D0,             // Range Minimum
+                            0x04D0,             // Range Maximum
+                            0x00,               // Alignment
+                            0x02,               // Length
+                            )
+                    })
+                    Method (_CRS, 0, NotSerialized)
+                    {
+                        If (And (MBEC, 0xFFFF))
+                        {
+                            Return (CRS1)
+                        }
+                        Else
+                        {
+                            Return (CRS2)
+                        }
+                    }
+                }
+
+                Device (COPR)
+                {
+                    Name (_HID, EisaId ("PNP0C04"))
+                    Name (_CRS, ResourceTemplate ()
+                    {
+                        IO (Decode16,
+                            0x00F0,             // Range Minimum
+                            0x00F0,             // Range Maximum
+                            0x00,               // Alignment
+                            0x10,               // Length
+                            )
+                        IRQNoFlags ()
+                            {13}
+                    })
+                }
+            }
+
+            Device (P0P1)
+            {
+                Name (_ADR, 0x001E0000)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x0B, 0x04))
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR01 ())
+                    }
+
+                    Return (PR01 ())
+                }
+            }
+
+            Device (USB1)
+            {
+                Name (_ADR, 0x001D0001)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x03, 0x03))
+                }
+            }
+
+            Device (USB2)
+            {
+                Name (_ADR, 0x001D0002)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x04, 0x03))
+                }
+            }
+
+            Device (USB3)
+            {
+                Name (_ADR, 0x001D0003)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x0C, 0x03))
+                }
+            }
+
+            Device (USB4)
+            {
+                Name (_ADR, 0x001D0004)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x0E, 0x03))
+                }
+            }
+
+            Device (USB5)
+            {
+                Name (_ADR, 0x001A0001)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x05, 0x03))
+                }
+            }
+
+            Device (USB6)
+            {
+                Name (_ADR, 0x001A0002)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x20, 0x03))
+                }
+            }
+
+            Device (USB7)
+            {
+                Name (_ADR, 0x001A0003)
+                Method (_PRW, 0, NotSerialized)
+                {
+                    Return (GPRW (0x25, 0x03))
+                }
+            }
+
+            Device (RP01)
+            {
+                Name (_ADR, 0x001C0000)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR04 ())
+                    }
+
+                    Return (PR04 ())
+                }
+            }
+
+            Device (RP02)
+            {
+                Name (_ADR, 0x001C0001)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR05 ())
+                    }
+
+                    Return (PR05 ())
+                }
+            }
+
+            Device (RP03)
+            {
+                Name (_ADR, 0x001C0002)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR06 ())
+                    }
+
+                    Return (PR06 ())
+                }
+            }
+
+            Device (RP04)
+            {
+                Name (_ADR, 0x001C0003)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR07 ())
+                    }
+
+                    Return (PR07 ())
+                }
+            }
+
+            Device (RP05)
+            {
+                Name (_ADR, 0x001C0004)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR08 ())
+                    }
+
+                    Return (PR08 ())
+                }
+            }
+
+            Device (RP06)
+            {
+                Name (_ADR, 0x001C0005)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR09 ())
+                    }
+
+                    Return (PR09 ())
+                }
+            }
+
+            Device (RP07)
+            {
+                Name (_ADR, 0x001C0006)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR0E ())
+                    }
+
+                    Return (PR0E ())
+                }
+            }
+
+            Device (RP08)
+            {
+                Name (_ADR, 0x001C0007)
+                Name (_HPP, Package (0x04)
+                {
+                    0x08, 
+                    0x40, 
+                    One, 
+                    Zero
+                })
+                OperationRegion (PXCS, PCI_Config, 0x40, 0xC0)
+                Field (PXCS, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x10), 
+                    L0SE,   1, 
+                            Offset (0x11), 
+                            Offset (0x12), 
+                        ,   13, 
+                    LASX,   1, 
+                            Offset (0x14), 
+                        ,   6, 
+                    HPCE,   1, 
+                            Offset (0x1A), 
+                    ABPX,   1, 
+                        ,   2, 
+                    PDCX,   1, 
+                        ,   2, 
+                    PDSX,   1, 
+                            Offset (0x1B), 
+                            Offset (0x20), 
+                            Offset (0x22), 
+                    PSPX,   1, 
+                            Offset (0x98), 
+                        ,   30, 
+                    HPEX,   1, 
+                    PMEX,   1
+                }
+
+                Field (PXCS, AnyAcc, NoLock, WriteAsZeros)
+                {
+                            Offset (0x94), 
+                        ,   1, 
+                    EIFD,   1, 
+                            Offset (0x95), 
+                            Offset (0x9C), 
+                        ,   30, 
+                    HPSX,   1, 
+                    PMSX,   1
+                }
+
+                Device (PXSX)
+                {
+                    Name (_ADR, Zero)
+                    Name (_PRW, Package (0x02)
+                    {
+                        0x09, 
+                        0x04
+                    })
+                }
+
+                Method (HPME, 0, Serialized)
+                {
+                    If (PMSX)
+                    {
+                        Store (0xC8, Local0)
+                        While (Local0)
+                        {
+                            Store (One, PMSX)
+                            If (PMSX)
+                            {
+                                Decrement (Local0)
+                            }
+                            Else
+                            {
+                                Store (Zero, Local0)
+                            }
+                        }
+
+                        Notify (PXSX, 0x02)
+                    }
+                }
+
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR0F ())
+                    }
+
+                    Return (PR0F ())
+                }
+            }
+
+            Device (PEG0)
+            {
+                Name (_ADR, 0x00010000)
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR02 ())
+                    }
+
+                    Return (PR02 ())
+                }
+
+                Device (PEGP)
+                {
+                    Name (_ADR, 0xFFFF)
+                }
+            }
+
+            Device (PEG1)
+            {
+                Name (_ADR, 0x00010001)
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR0A ())
+                    }
+
+                    Return (PR0A ())
+                }
+            }
+
+            Device (PEG2)
+            {
+                Name (_ADR, 0x00010002)
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR0B ())
+                    }
+
+                    Return (PR0B ())
+                }
+            }
+
+            Device (PEG3)
+            {
+                Name (_ADR, 0x00060000)
+                Method (_PRT, 0, NotSerialized)
+                {
+                    If (PICM)
+                    {
+                        Return (AR0C ())
+                    }
+
+                    Return (PR0C ())
+                }
+            }
+
+            Device (B0D4)
+            {
+                Name (_ADR, 0x00040000)
+            }
+        }
+
+        Scope (\_GPE)
+        {
+            Method (_L1D, 0, NotSerialized)
+            {
+                \_SB.PCI0.LPCB.SIOH ()
+                Notify (\_SB.PWRB, 0x02)
+            }
+
+            Method (_L0B, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.P0P1, 0x02)
+            }
+
+            Method (_L03, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB1, 0x02)
+            }
+
+            Method (_L04, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB2, 0x02)
+            }
+
+            Method (_L0C, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB3, 0x02)
+            }
+
+            Method (_L0E, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB4, 0x02)
+            }
+
+            Method (_L05, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB5, 0x02)
+            }
+
+            Method (_L20, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB6, 0x02)
+            }
+
+            Method (_L25, 0, NotSerialized)
+            {
+                Notify (\_SB.PCI0.USB7, 0x02)
+            }
+        }
+
+        Device (PWRB)
+        {
+            Name (_HID, EisaId ("PNP0C0C"))
+            Name (_UID, 0xAA)
+            Name (_STA, 0x0B)
+            Method (_PRW, 0, NotSerialized)
+            {
+                Return (GPRW (0x1D, 0x03))
+            }
+        }
+    }
+
+    Scope (\)
+    {
+        OperationRegion (IO_T, SystemIO, 0x0200, 0x10)
+        Field (IO_T, ByteAcc, NoLock, Preserve)
+        {
+            TRPI,   16, 
+                    Offset (0x04), 
+                    Offset (0x06), 
+                    Offset (0x08), 
+            TRP0,   8, 
+                    Offset (0x0A), 
+                    Offset (0x0B), 
+                    Offset (0x0C), 
+                    Offset (0x0D), 
+                    Offset (0x0E), 
+                    Offset (0x0F), 
+                    Offset (0x10)
+        }
+
+        OperationRegion (IO_D, SystemIO, 0x0810, 0x04)
+        Field (IO_D, ByteAcc, NoLock, Preserve)
+        {
+            TRPD,   8
+        }
+
+        OperationRegion (IO_H, SystemIO, 0x1000, 0x04)
+        Field (IO_H, ByteAcc, NoLock, Preserve)
+        {
+            TRPH,   8
+        }
+
+        OperationRegion (PMIO, SystemIO, PMBS, 0x80)
+        Field (PMIO, ByteAcc, NoLock, Preserve)
+        {
+                    Offset (0x28), 
+                    Offset (0x2A), 
+                ,   3, 
+            GPE3,   1, 
+                    Offset (0x3C), 
+                ,   1, 
+            UPRW,   1, 
+                    Offset (0x42), 
+                ,   1, 
+            GPEC,   1
+        }
+
+        Field (PMIO, ByteAcc, NoLock, WriteAsZeros)
+        {
+                    Offset (0x20), 
+                    Offset (0x22), 
+                ,   3, 
+            GPS3,   1, 
+                    Offset (0x64), 
+                ,   9, 
+            SCIS,   1, 
+                    Offset (0x66)
+        }
+
+        OperationRegion (GPIO, SystemIO, GPBS, 0x64)
+        Field (GPIO, ByteAcc, NoLock, Preserve)
+        {
+            GU00,   8, 
+            GU01,   8, 
+            GU02,   8, 
+            GU03,   8, 
+            GIO0,   8, 
+            GIO1,   8, 
+            GIO2,   8, 
+            GIO3,   8, 
+                    Offset (0x0C), 
+            GL00,   8, 
+            GL01,   8, 
+            GL02,   8, 
+            GP24,   1, 
+                ,   2, 
+            GP27,   1, 
+            GP28,   1, 
+                    Offset (0x10), 
+                    Offset (0x18), 
+            GB00,   8, 
+            GB01,   8, 
+            GB02,   8, 
+            GB03,   8, 
+                    Offset (0x2C), 
+            GIV0,   8, 
+            GIV1,   8, 
+            GIV2,   8, 
+            GIV3,   8, 
+            GU04,   8, 
+            GU05,   8, 
+            GU06,   8, 
+            GU07,   8, 
+            GIO4,   8, 
+            GIO5,   8, 
+            GIO6,   8, 
+            GIO7,   8, 
+            GL04,   8, 
+            GL05,   8, 
+            GL06,   8, 
+            GL07,   8, 
+                    Offset (0x40), 
+            GU08,   8, 
+            GU09,   8, 
+            GU0A,   8, 
+            GU0B,   8, 
+            GIO8,   8, 
+            GIO9,   8, 
+            GIOA,   8, 
+            GIOB,   8, 
+            GL08,   8, 
+            GL09,   8, 
+            GL0A,   8, 
+            GL0B,   8
+        }
+
+        OperationRegion (RCRB, SystemMemory, SRCB, 0x4000)
+        Field (RCRB, DWordAcc, Lock, Preserve)
+        {
+                    Offset (0x1000), 
+                    Offset (0x3000), 
+                    Offset (0x3404), 
+            HPAS,   2, 
+                ,   5, 
+            HPAE,   1, 
+                    Offset (0x3418), 
+                ,   1, 
+                ,   1, 
+            SATD,   1, 
+            SMBD,   1, 
+            HDAD,   1, 
+                    Offset (0x341A), 
+            RP1D,   1, 
+            RP2D,   1, 
+            RP3D,   1, 
+            RP4D,   1, 
+            RP5D,   1, 
+            RP6D,   1, 
+            RP7D,   1, 
+            RP8D,   1, 
+                    Offset (0x359C), 
+            UP0D,   1, 
+            UP1D,   1, 
+            UP2D,   1, 
+            UP3D,   1, 
+            UP4D,   1, 
+            UP5D,   1, 
+            UP6D,   1, 
+            UP7D,   1, 
+            UP8D,   1, 
+            UP9D,   1, 
+            UPAD,   1, 
+            UPBD,   1, 
+            UPCD,   1, 
+            UPDD,   1, 
+                ,   1, 
+                    Offset (0x359E)
+        }
+    }
+
+    Scope (_SB.PCI0)
+    {
+        Device (GLAN)
+        {
+            Name (_ADR, 0x00190000)
+            Method (_PRW, 0, NotSerialized)
+            {
+                Return (GPRW (0x0D, 0x04))
+            }
+        }
+
+        Device (EHC1)
+        {
+            Name (_ADR, 0x001D0000)
+            OperationRegion (PWKE, PCI_Config, 0x62, 0x04)
+            Field (PWKE, DWordAcc, NoLock, Preserve)
+            {
+                    ,   1, 
+                PWUC,   8
+            }
+
+            Method (_PSW, 1, NotSerialized)
+            {
+                If (Arg0)
+                {
+                    Store (Ones, PWUC)
+                }
+                Else
+                {
+                    Store (Zero, PWUC)
+                }
+            }
+
+            Method (_S3D, 0, NotSerialized)
+            {
+                Return (0x02)
+            }
+
+            Method (_S4D, 0, NotSerialized)
+            {
+                Return (0x02)
+            }
+
+            Device (HUBN)
+            {
+                Name (_ADR, Zero)
+                Device (PR01)
+                {
+                    Name (_ADR, One)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCA, Package (0x04)
+                        {
+                            0xFF, 
+                            Zero, 
+                            Zero, 
+                            Zero
+                        })
+                        Return (UPCA)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x30, 0x1C, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        Return (PLDP)
+                    }
+
+                    Device (PR11)
+                    {
+                        Name (_ADR, One)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1C, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+
+                    Device (PR12)
+                    {
+                        Name (_ADR, 0x02)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1D, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+
+                    Device (PR13)
+                    {
+                        Name (_ADR, 0x03)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1D, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+
+                    Device (PR14)
+                    {
+                        Name (_ADR, 0x04)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+
+                        Alias (SBV1, SDGV)
+                        Method (_DSM, 4, Serialized)
+                        {
+                            If (LEqual (Arg0, Buffer (0x10)
+                                    {
+                                        /* 0000 */    0x8F, 0x70, 0xFC, 0xA5, 0x75, 0x87, 0xA6, 0x4B, 
+                                        /* 0008 */    0xBD, 0x0C, 0xBA, 0x90, 0xA1, 0xEC, 0x72, 0xF8
+                                    }))
+                            {
+                                Name (_T_0, Zero)
+                                Store (ToInteger (Arg2), _T_0)
+                                If (LEqual (_T_0, Zero))
+                                {
+                                    If (LEqual (Arg1, One))
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x07
+                                        })
+                                    }
+                                    Else
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x00
+                                        })
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, One))
+                                    {
+                                        If (LEqual (SDGV, 0xFF))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                            Return (One)
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x02))
+                                        {
+                                            Return (SDGV)
+                                        }
+                                    }
+                                }
+                            }
+
+                            Return (Zero)
+                        }
+                    }
+
+                    Device (PR15)
+                    {
+                        Name (_ADR, 0x05)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xB1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+
+                        Alias (SBV2, SDGV)
+                        Method (_DSM, 4, Serialized)
+                        {
+                            If (LEqual (Arg0, Buffer (0x10)
+                                    {
+                                        /* 0000 */    0x8F, 0x70, 0xFC, 0xA5, 0x75, 0x87, 0xA6, 0x4B, 
+                                        /* 0008 */    0xBD, 0x0C, 0xBA, 0x90, 0xA1, 0xEC, 0x72, 0xF8
+                                    }))
+                            {
+                                Name (_T_0, Zero)
+                                Store (ToInteger (Arg2), _T_0)
+                                If (LEqual (_T_0, Zero))
+                                {
+                                    If (LEqual (Arg1, One))
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x07
+                                        })
+                                    }
+                                    Else
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x00
+                                        })
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, One))
+                                    {
+                                        If (LEqual (SDGV, 0xFF))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                            Return (One)
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x02))
+                                        {
+                                            Return (SDGV)
+                                        }
+                                    }
+                                }
+                            }
+
+                            Return (Zero)
+                        }
+                    }
+
+                    Device (PR16)
+                    {
+                        Name (_ADR, 0x06)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xB1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+
+                        Alias (SBV1, SDGV)
+                        Method (_DSM, 4, Serialized)
+                        {
+                            If (LEqual (Arg0, Buffer (0x10)
+                                    {
+                                        /* 0000 */    0x8F, 0x70, 0xFC, 0xA5, 0x75, 0x87, 0xA6, 0x4B, 
+                                        /* 0008 */    0xBD, 0x0C, 0xBA, 0x90, 0xA1, 0xEC, 0x72, 0xF8
+                                    }))
+                            {
+                                Name (_T_0, Zero)
+                                Store (ToInteger (Arg2), _T_0)
+                                If (LEqual (_T_0, Zero))
+                                {
+                                    If (LEqual (Arg1, One))
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x07
+                                        })
+                                    }
+                                    Else
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x00
+                                        })
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, One))
+                                    {
+                                        If (LEqual (SDGV, 0xFF))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                            Return (One)
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x02))
+                                        {
+                                            Return (SDGV)
+                                        }
+                                    }
+                                }
+                            }
+
+                            Return (Zero)
+                        }
+                    }
+
+                    Device (PR17)
+                    {
+                        Name (_ADR, 0x07)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xB1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+
+                        Alias (SBV2, SDGV)
+                        Method (_DSM, 4, Serialized)
+                        {
+                            If (LEqual (Arg0, Buffer (0x10)
+                                    {
+                                        /* 0000 */    0x8F, 0x70, 0xFC, 0xA5, 0x75, 0x87, 0xA6, 0x4B, 
+                                        /* 0008 */    0xBD, 0x0C, 0xBA, 0x90, 0xA1, 0xEC, 0x72, 0xF8
+                                    }))
+                            {
+                                Name (_T_0, Zero)
+                                Store (ToInteger (Arg2), _T_0)
+                                If (LEqual (_T_0, Zero))
+                                {
+                                    If (LEqual (Arg1, One))
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x07
+                                        })
+                                    }
+                                    Else
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x00
+                                        })
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, One))
+                                    {
+                                        If (LEqual (SDGV, 0xFF))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                            Return (One)
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x02))
+                                        {
+                                            Return (SDGV)
+                                        }
+                                    }
+                                }
+                            }
+
+                            Return (Zero)
+                        }
+                    }
+
+                    Device (PR18)
+                    {
+                        Name (_ADR, 0x08)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xB1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+                }
+            }
+
+            Method (_PRW, 0, NotSerialized)
+            {
+                Return (GPRW (0x0D, 0x04))
+            }
+        }
+
+        Device (EHC2)
+        {
+            Name (_ADR, 0x001A0000)
+            OperationRegion (PWKE, PCI_Config, 0x62, 0x04)
+            Field (PWKE, DWordAcc, NoLock, Preserve)
+            {
+                    ,   1, 
+                PWUC,   6
+            }
+
+            Method (_PSW, 1, NotSerialized)
+            {
+                If (Arg0)
+                {
+                    Store (Ones, PWUC)
+                }
+                Else
+                {
+                    Store (Zero, PWUC)
+                }
+            }
+
+            Method (_S3D, 0, NotSerialized)
+            {
+                Return (0x02)
+            }
+
+            Method (_S4D, 0, NotSerialized)
+            {
+                Return (0x02)
+            }
+
+            Device (HUBN)
+            {
+                Name (_ADR, Zero)
+                Device (PR01)
+                {
+                    Name (_ADR, One)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCA, Package (0x04)
+                        {
+                            0xFF, 
+                            Zero, 
+                            Zero, 
+                            Zero
+                        })
+                        Return (UPCA)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x30, 0x1C, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        Return (PLDP)
+                    }
+
+                    Device (PR11)
+                    {
+                        Name (_ADR, One)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1C, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+
+                    Device (PR12)
+                    {
+                        Name (_ADR, 0x02)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1D, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+
+                        Alias (SBV1, SDGV)
+                        Method (_DSM, 4, Serialized)
+                        {
+                            If (LEqual (Arg0, Buffer (0x10)
+                                    {
+                                        /* 0000 */    0x8F, 0x70, 0xFC, 0xA5, 0x75, 0x87, 0xA6, 0x4B, 
+                                        /* 0008 */    0xBD, 0x0C, 0xBA, 0x90, 0xA1, 0xEC, 0x72, 0xF8
+                                    }))
+                            {
+                                Name (_T_0, Zero)
+                                Store (ToInteger (Arg2), _T_0)
+                                If (LEqual (_T_0, Zero))
+                                {
+                                    If (LEqual (Arg1, One))
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x07
+                                        })
+                                    }
+                                    Else
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x00
+                                        })
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, One))
+                                    {
+                                        If (LEqual (SDGV, 0xFF))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                            Return (One)
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x02))
+                                        {
+                                            Return (SDGV)
+                                        }
+                                    }
+                                }
+                            }
+
+                            Return (Zero)
+                        }
+                    }
+
+                    Device (PR13)
+                    {
+                        Name (_ADR, 0x03)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1D, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+
+                        Alias (SBV2, SDGV)
+                        Method (_DSM, 4, Serialized)
+                        {
+                            If (LEqual (Arg0, Buffer (0x10)
+                                    {
+                                        /* 0000 */    0x8F, 0x70, 0xFC, 0xA5, 0x75, 0x87, 0xA6, 0x4B, 
+                                        /* 0008 */    0xBD, 0x0C, 0xBA, 0x90, 0xA1, 0xEC, 0x72, 0xF8
+                                    }))
+                            {
+                                Name (_T_0, Zero)
+                                Store (ToInteger (Arg2), _T_0)
+                                If (LEqual (_T_0, Zero))
+                                {
+                                    If (LEqual (Arg1, One))
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x07
+                                        })
+                                    }
+                                    Else
+                                    {
+                                        Return (Buffer (One)
+                                        {
+                                            0x00
+                                        })
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, One))
+                                    {
+                                        If (LEqual (SDGV, 0xFF))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                            Return (One)
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x02))
+                                        {
+                                            Return (SDGV)
+                                        }
+                                    }
+                                }
+                            }
+
+                            Return (Zero)
+                        }
+                    }
+
+                    Device (PR14)
+                    {
+                        Name (_ADR, 0x04)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xE1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+
+                    Device (PR15)
+                    {
+                        Name (_ADR, 0x05)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xB1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+
+                    Device (PR16)
+                    {
+                        Name (_ADR, 0x06)
+                        Method (_UPC, 0, Serialized)
+                        {
+                            Name (UPCP, Package (0x04)
+                            {
+                                0xFF, 
+                                0xFF, 
+                                Zero, 
+                                Zero
+                            })
+                            Return (UPCP)
+                        }
+
+                        Method (_PLD, 0, Serialized)
+                        {
+                            Name (PLDP, Package (0x01)
+                            {
+                                Buffer (0x10)
+                                {
+                                    /* 0000 */    0x81, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                    /* 0008 */    0xB1, 0x1E, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+                                }
+                            })
+                            Return (PLDP)
+                        }
+                    }
+                }
+            }
+
+            Method (_PRW, 0, NotSerialized)
+            {
+                Return (GPRW (0x0D, 0x04))
+            }
+        }
+
+        Device (XHC)
+        {
+            Name (_ADR, 0x00140000)
+            OperationRegion (XPRT, PCI_Config, 0xD0, 0x10)
+            Field (XPRT, DWordAcc, NoLock, Preserve)
+            {
+                PR2,    32, 
+                PR2M,   32, 
+                PR3,    32, 
+                PR3M,   32
+            }
+
+            Method (CUID, 1, Serialized)
+            {
+                If (LEqual (Arg0, Buffer (0x10)
+                        {
+                            /* 0000 */    0xA9, 0x12, 0x95, 0x7C, 0x05, 0x17, 0xB4, 0x4C, 
+                            /* 0008 */    0xAF, 0x7D, 0x50, 0x6A, 0x24, 0x23, 0xAB, 0x71
+                        }))
+                {
+                    Return (One)
+                }
+
+                Return (Zero)
+            }
+
+            Method (POSC, 3, Serialized)
+            {
+                CreateDWordField (Arg2, Zero, CDW1)
+                If (LNotEqual (Arg1, One))
+                {
+                    Or (CDW1, 0x08, CDW1)
+                }
+
+                If (LEqual (XHCI, Zero))
+                {
+                    Or (CDW1, 0x02, CDW1)
+                }
+
+                If (LNot (And (CDW1, One)))
+                {
+                    XSEL ()
+                }
+
+                Return (Arg2)
+            }
+
+            Method (XSEL, 0, Serialized)
+            {
+                If (LOr (LEqual (XHCI, 0x02), LEqual (XHCI, 0x03)))
+                {
+                    Store (Zero, Local0)
+                    And (PR3, 0xFFFFFFF0, Local0)
+                    Or (Local0, XHPM, Local0)
+                    And (Local0, PR3M, PR3)
+                    Store (Zero, Local0)
+                    And (PR2, 0xFFFFFFF0, Local0)
+                    Or (Local0, XHPM, Local0)
+                    And (Local0, PR2M, PR2)
+                    Store (One, XUSB)
+                }
+            }
+
+            Method (_S3D, 0, NotSerialized)
+            {
+                Return (0x02)
+            }
+
+            Method (_S4D, 0, NotSerialized)
+            {
+                Return (0x02)
+            }
+
+            Device (RHUB)
+            {
+                Name (_ADR, Zero)
+                Device (HSP1)
+                {
+                    Name (_ADR, One)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR2, One)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x80, 0x00, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR2, One)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (HSP2)
+                {
+                    Name (_ADR, 0x02)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR2, 0x02)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR2, 0x02)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (HSP3)
+                {
+                    Name (_ADR, 0x03)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR2, 0x04)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x80, 0x01, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR2, 0x04)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (HSP4)
+                {
+                    Name (_ADR, 0x04)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR2, 0x08)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x00, 0x02, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR2, 0x08)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (SSP1)
+                {
+                    Name (_ADR, 0x05)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR3, One)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x80, 0x00, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR3, One)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (SSP2)
+                {
+                    Name (_ADR, 0x06)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR3, 0x02)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR3, 0x02)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (SSP3)
+                {
+                    Name (_ADR, 0x07)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR3, 0x04)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x80, 0x01, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR3, 0x04)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+
+                Device (SSP4)
+                {
+                    Name (_ADR, 0x08)
+                    Method (_UPC, 0, Serialized)
+                    {
+                        Name (UPCP, Package (0x04)
+                        {
+                            0xFF, 
+                            0x03, 
+                            Zero, 
+                            Zero
+                        })
+                        If (LNot (And (PR3, 0x08)))
+                        {
+                            Store (Zero, Index (UPCP, Zero))
+                        }
+
+                        Return (UPCP)
+                    }
+
+                    Method (_PLD, 0, Serialized)
+                    {
+                        Name (PLDP, Package (0x01)
+                        {
+                            Buffer (0x10)
+                            {
+                                /* 0000 */    0x01, 0xC6, 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 
+                                /* 0008 */    0x69, 0x0C, 0x00, 0x02, 0x00, 0x00, 0x00, 0x00
+                            }
+                        })
+                        CreateBitField (DerefOf (Index (PLDP, Zero)), 0x40, VIS)
+                        If (LNot (And (PR3, 0x08)))
+                        {
+                            And (VIS, Zero, VIS)
+                        }
+
+                        Return (PLDP)
+                    }
+                }
+            }
+
+            Method (_PRW, 0, NotSerialized)
+            {
+                Return (GPRW (0x0D, 0x04))
+            }
+        }
+
+        Device (HDEF)
+        {
+            Name (_ADR, 0x001B0000)
+            OperationRegion (HDAR, PCI_Config, 0x4C, 0x10)
+            Field (HDAR, WordAcc, NoLock, Preserve)
+            {
+                DCKA,   1, 
+                        Offset (0x01), 
+                DCKM,   1, 
+                    ,   6, 
+                DCKS,   1, 
+                        Offset (0x08), 
+                    ,   15, 
+                PMES,   1
+            }
+
+            Method (_PRW, 0, NotSerialized)
+            {
+                Return (GPRW (0x0D, 0x04))
+            }
+        }
+
+        Device (SAT0)
+        {
+            Name (_ADR, 0x001F0002)
+        }
+
+        Device (SAT1)
+        {
+            Name (_ADR, 0x001F0005)
+        }
+
+        Device (SBUS)
+        {
+            Name (_ADR, 0x001F0003)
+            OperationRegion (SMBP, PCI_Config, 0x40, 0xC0)
+            Field (SMBP, DWordAcc, NoLock, Preserve)
+            {
+                    ,   2, 
+                I2CE,   1
+            }
+
+            OperationRegion (SMPB, PCI_Config, 0x20, 0x04)
+            Field (SMPB, DWordAcc, NoLock, Preserve)
+            {
+                    ,   5, 
+                SBAR,   11
+            }
+
+            OperationRegion (SMBI, SystemIO, ShiftLeft (SBAR, 0x05), 0x10)
+            Field (SMBI, ByteAcc, NoLock, Preserve)
+            {
+                HSTS,   8, 
+                        Offset (0x02), 
+                HCON,   8, 
+                HCOM,   8, 
+                TXSA,   8, 
+                DAT0,   8, 
+                DAT1,   8, 
+                HBDR,   8, 
+                PECR,   8, 
+                RXSA,   8, 
+                SDAT,   16
+            }
+
+            Method (SSXB, 2, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (Zero)
+                }
+
+                Store (Zero, I2CE)
+                Store (0xBF, HSTS)
+                Store (Arg0, TXSA)
+                Store (Arg1, HCOM)
+                Store (0x48, HCON)
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (One)
+                }
+
+                Return (Zero)
+            }
+
+            Method (SRXB, 1, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (0xFFFF)
+                }
+
+                Store (Zero, I2CE)
+                Store (0xBF, HSTS)
+                Store (Or (Arg0, One), TXSA)
+                Store (0x44, HCON)
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (DAT0)
+                }
+
+                Return (0xFFFF)
+            }
+
+            Method (SWRB, 3, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (Zero)
+                }
+
+                Store (Zero, I2CE)
+                Store (0xBF, HSTS)
+                Store (Arg0, TXSA)
+                Store (Arg1, HCOM)
+                Store (Arg2, DAT0)
+                Store (0x48, HCON)
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (One)
+                }
+
+                Return (Zero)
+            }
+
+            Method (SRDB, 2, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (0xFFFF)
+                }
+
+                Store (Zero, I2CE)
+                Store (0xBF, HSTS)
+                Store (Or (Arg0, One), TXSA)
+                Store (Arg1, HCOM)
+                Store (0x48, HCON)
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (DAT0)
+                }
+
+                Return (0xFFFF)
+            }
+
+            Method (SWRW, 3, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (Zero)
+                }
+
+                Store (Zero, I2CE)
+                Store (0xBF, HSTS)
+                Store (Arg0, TXSA)
+                Store (Arg1, HCOM)
+                And (Arg2, 0xFF, DAT1)
+                And (ShiftRight (Arg2, 0x08), 0xFF, DAT0)
+                Store (0x4C, HCON)
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (One)
+                }
+
+                Return (Zero)
+            }
+
+            Method (SRDW, 2, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (0xFFFF)
+                }
+
+                Store (Zero, I2CE)
+                Store (0xBF, HSTS)
+                Store (Or (Arg0, One), TXSA)
+                Store (Arg1, HCOM)
+                Store (0x4C, HCON)
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (Or (ShiftLeft (DAT0, 0x08), DAT1))
+                }
+
+                Return (0xFFFFFFFF)
+            }
+
+            Method (SBLW, 4, Serialized)
+            {
+                If (STRT ())
+                {
+                    Return (Zero)
+                }
+
+                Store (Arg3, I2CE)
+                Store (0xBF, HSTS)
+                Store (Arg0, TXSA)
+                Store (Arg1, HCOM)
+                Store (SizeOf (Arg2), DAT0)
+                Store (Zero, Local1)
+                Store (DerefOf (Index (Arg2, Zero)), HBDR)
+                Store (0x54, HCON)
+                While (LGreater (SizeOf (Arg2), Local1))
+                {
+                    Store (0x0FA0, Local0)
+                    While (LAnd (LNot (And (HSTS, 0x80)), Local0))
+                    {
+                        Decrement (Local0)
+                        Stall (0x32)
+                    }
+
+                    If (LNot (Local0))
+                    {
+                        KILL ()
+                        Return (Zero)
+                    }
+
+                    Store (0x80, HSTS)
+                    Increment (Local1)
+                    If (LGreater (SizeOf (Arg2), Local1))
+                    {
+                        Store (DerefOf (Index (Arg2, Local1)), HBDR)
+                    }
+                }
+
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (One)
+                }
+
+                Return (Zero)
+            }
+
+            Method (SBLR, 3, Serialized)
+            {
+                Name (TBUF, Buffer (0x0100) {})
+                If (STRT ())
+                {
+                    Return (Zero)
+                }
+
+                Store (Arg2, I2CE)
+                Store (0xBF, HSTS)
+                Store (Or (Arg0, One), TXSA)
+                Store (Arg1, HCOM)
+                Store (0x54, HCON)
+                Store (0x0FA0, Local0)
+                While (LAnd (LNot (And (HSTS, 0x80)), Local0))
+                {
+                    Decrement (Local0)
+                    Stall (0x32)
+                }
+
+                If (LNot (Local0))
+                {
+                    KILL ()
+                    Return (Zero)
+                }
+
+                Store (DAT0, Index (TBUF, Zero))
+                Store (0x80, HSTS)
+                Store (One, Local1)
+                While (LLess (Local1, DerefOf (Index (TBUF, Zero))))
+                {
+                    Store (0x0FA0, Local0)
+                    While (LAnd (LNot (And (HSTS, 0x80)), Local0))
+                    {
+                        Decrement (Local0)
+                        Stall (0x32)
+                    }
+
+                    If (LNot (Local0))
+                    {
+                        KILL ()
+                        Return (Zero)
+                    }
+
+                    Store (HBDR, Index (TBUF, Local1))
+                    Store (0x80, HSTS)
+                    Increment (Local1)
+                }
+
+                If (COMP ())
+                {
+                    Or (HSTS, 0xFF, HSTS)
+                    Return (TBUF)
+                }
+
+                Return (Zero)
+            }
+
+            Method (STRT, 0, Serialized)
+            {
+                Store (0xC8, Local0)
+                While (Local0)
+                {
+                    If (And (HSTS, 0x40))
+                    {
+                        Decrement (Local0)
+                        Sleep (One)
+                        If (LEqual (Local0, Zero))
+                        {
+                            Return (One)
+                        }
+                    }
+                    Else
+                    {
+                        Store (Zero, Local0)
+                    }
+                }
+
+                Store (0x0FA0, Local0)
+                While (Local0)
+                {
+                    If (And (HSTS, One))
+                    {
+                        Decrement (Local0)
+                        Stall (0x32)
+                        If (LEqual (Local0, Zero))
+                        {
+                            KILL ()
+                        }
+                    }
+                    Else
+                    {
+                        Return (Zero)
+                    }
+                }
+
+                Return (One)
+            }
+
+            Method (COMP, 0, Serialized)
+            {
+                Store (0x0FA0, Local0)
+                While (Local0)
+                {
+                    If (And (HSTS, 0x02))
+                    {
+                        Return (One)
+                    }
+                    Else
+                    {
+                        Decrement (Local0)
+                        Stall (0x32)
+                        If (LEqual (Local0, Zero))
+                        {
+                            KILL ()
+                        }
+                    }
+                }
+
+                Return (Zero)
+            }
+
+            Method (KILL, 0, Serialized)
+            {
+                Or (HCON, 0x02, HCON)
+                Or (HSTS, 0xFF, HSTS)
+            }
+        }
+    }
+
+    Scope (_SB.PCI0.LPCB)
+    {
+        OperationRegion (CPSB, SystemMemory, 0xD5D28F98, 0x10)
+        Field (CPSB, AnyAcc, NoLock, Preserve)
+        {
+            RTCX,   1, 
+            SBB0,   7, 
+            SBB1,   8, 
+            SBB2,   8, 
+            SBB3,   8, 
+            SBB4,   8, 
+            SBB5,   8, 
+            SBB6,   8, 
+            SBB7,   8, 
+            SBB8,   8, 
+            SBB9,   8, 
+            SBBA,   8, 
+            SBBB,   8, 
+            SBBC,   8, 
+            SBBD,   8, 
+            SBBE,   8, 
+            SBBF,   8
+        }
+
+        Method (SPTS, 1, NotSerialized)
+        {
+            Store (One, SLPX)
+            If (LEqual (Arg0, One))
+            {
+                Store (One, LEDU)
+                Store (Zero, LEDD)
+                Store (One, LEDB)
+            }
+
+            Store (One, SLPE)
+        }
+
+        Method (SWAK, 1, NotSerialized)
+        {
+            If (LEqual (Arg0, One))
+            {
+                Store (One, LEDU)
+                Store (Zero, LEDD)
+                Store (Zero, LEDV)
+                Store (Zero, LEDB)
+            }
+
+            Store (Zero, SLPE)
+            If (RTCX) {}
+            Else
+            {
+                Notify (PWRB, 0x02)
+            }
+        }
+
+        OperationRegion (SMIE, SystemIO, PMBS, 0x04)
+        Field (SMIE, ByteAcc, NoLock, Preserve)
+        {
+                ,   10, 
+            RTCS,   1, 
+                ,   3, 
+            PEXS,   1, 
+            WAKS,   1, 
+                    Offset (0x03), 
+            PWBT,   1, 
+                    Offset (0x04)
+        }
+
+        OperationRegion (SLPR, SystemIO, SMCR, 0x08)
+        Field (SLPR, ByteAcc, NoLock, Preserve)
+        {
+                ,   4, 
+            SLPE,   1, 
+                ,   31, 
+            SLPX,   1, 
+                    Offset (0x08)
+        }
+    }
+
+    OperationRegion (LED, SystemIO, 0x0500, 0x20)
+    Field (LED, ByteAcc, NoLock, Preserve)
+    {
+            ,   28, 
+        LEDU,   1, 
+                Offset (0x04), 
+            ,   28, 
+        LEDD,   1, 
+                Offset (0x08), 
+                Offset (0x0C), 
+            ,   28, 
+        LEDV,   1, 
+                Offset (0x10), 
+                Offset (0x14), 
+                Offset (0x18), 
+            ,   28, 
+        LEDB,   1, 
+                Offset (0x1C), 
+                Offset (0x20)
+    }
+
+    Scope (_SB.PCI0.RP01)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP02)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP03)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP04)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP05)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP06)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP07)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.RP08)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    OperationRegion (_SB.PCI0.LPCB.LPCR, PCI_Config, 0x80, 0x04)
+    Field (\_SB.PCI0.LPCB.LPCR, ByteAcc, NoLock, Preserve)
+    {
+        CADR,   3, 
+            ,   1, 
+        CBDR,   3, 
+                Offset (0x01), 
+        LTDR,   2, 
+            ,   2, 
+        FDDR,   1, 
+                Offset (0x02), 
+        CALE,   1, 
+        CBLE,   1, 
+        LTLE,   1, 
+        FDLE,   1, 
+                Offset (0x03), 
+        GLLE,   1, 
+        GHLE,   1, 
+        KCLE,   1, 
+        MCLE,   1, 
+        C1LE,   1, 
+        C2LE,   1, 
+                Offset (0x04)
+    }
+
+    Method (UXDV, 1, NotSerialized)
+    {
+        Store (0xFF, Local0)
+        Name (_T_0, Zero)
+        Store (Add (Arg0, Zero), _T_0)
+        If (LEqual (_T_0, 0x03F8))
+        {
+            Store (Zero, Local0)
+        }
+        Else
+        {
+            If (LEqual (_T_0, 0x02F8))
+            {
+                Store (One, Local0)
+            }
+            Else
+            {
+                If (LEqual (_T_0, 0x0220))
+                {
+                    Store (0x02, Local0)
+                }
+                Else
+                {
+                    If (LEqual (_T_0, 0x0228))
+                    {
+                        Store (0x03, Local0)
+                    }
+                    Else
+                    {
+                        If (LEqual (_T_0, 0x0238))
+                        {
+                            Store (0x04, Local0)
+                        }
+                        Else
+                        {
+                            If (LEqual (_T_0, 0x02E8))
+                            {
+                                Store (0x05, Local0)
+                            }
+                            Else
+                            {
+                                If (LEqual (_T_0, 0x0338))
+                                {
+                                    Store (0x06, Local0)
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, 0x03E8))
+                                    {
+                                        Store (0x07, Local0)
+                                    }
+                                }
+                            }
+                        }
+                    }
+                }
+            }
+        }
+
+        Return (Local0)
+    }
+
+    Method (RRIO, 4, NotSerialized)
+    {
+        Name (_T_0, Zero)
+        Store (Add (Arg0, Zero), _T_0)
+        If (LEqual (_T_0, Zero))
+        {
+            Store (Zero, CALE)
+            Store (UXDV (Arg2), Local0)
+            If (LNotEqual (Local0, 0xFF))
+            {
+                Store (Local0, CADR)
+            }
+
+            If (Arg1)
+            {
+                Store (One, CALE)
+            }
+        }
+        Else
+        {
+            If (LEqual (_T_0, One))
+            {
+                Store (Zero, CBLE)
+                Store (UXDV (Arg2), Local0)
+                If (LNotEqual (Local0, 0xFF))
+                {
+                    Store (Local0, CBDR)
+                }
+
+                If (Arg1)
+                {
+                    Store (One, CBLE)
+                }
+            }
+            Else
+            {
+                If (LEqual (_T_0, 0x02))
+                {
+                    Store (Zero, LTLE)
+                    If (LEqual (Arg2, 0x0378))
+                    {
+                        Store (Zero, LTDR)
+                    }
+
+                    If (LEqual (Arg2, 0x0278))
+                    {
+                        Store (One, LTDR)
+                    }
+
+                    If (LEqual (Arg2, 0x03BC))
+                    {
+                        Store (0x02, LTDR)
+                    }
+
+                    If (Arg1)
+                    {
+                        Store (One, LTLE)
+                    }
+                }
+                Else
+                {
+                    If (LEqual (_T_0, 0x03))
+                    {
+                        Store (Zero, FDLE)
+                        If (LEqual (Arg2, 0x03F0))
+                        {
+                            Store (Zero, FDDR)
+                        }
+
+                        If (LEqual (Arg2, 0x0370))
+                        {
+                            Store (One, FDDR)
+                        }
+
+                        If (Arg1)
+                        {
+                            Store (One, FDLE)
+                        }
+                    }
+                    Else
+                    {
+                        If (LEqual (_T_0, 0x08))
+                        {
+                            If (LEqual (Arg2, 0x0200))
+                            {
+                                If (Arg1)
+                                {
+                                    Store (One, GLLE)
+                                }
+                                Else
+                                {
+                                    Store (Zero, GLLE)
+                                }
+                            }
+
+                            If (LEqual (Arg2, 0x0208))
+                            {
+                                If (Arg1)
+                                {
+                                    Store (One, GHLE)
+                                }
+                                Else
+                                {
+                                    Store (Zero, GHLE)
+                                }
+                            }
+                        }
+                        Else
+                        {
+                            If (LEqual (_T_0, 0x09))
+                            {
+                                If (LEqual (Arg2, 0x0200))
+                                {
+                                    If (Arg1)
+                                    {
+                                        Store (One, GLLE)
+                                    }
+                                    Else
+                                    {
+                                        Store (Zero, GLLE)
+                                    }
+                                }
+
+                                If (LEqual (Arg2, 0x0208))
+                                {
+                                    If (Arg1)
+                                    {
+                                        Store (One, GHLE)
+                                    }
+                                    Else
+                                    {
+                                        Store (Zero, GHLE)
+                                    }
+                                }
+                            }
+                            Else
+                            {
+                                If (LEqual (_T_0, 0x0A))
+                                {
+                                    If (LOr (LEqual (Arg2, 0x60), LEqual (Arg2, 0x64)))
+                                    {
+                                        If (Arg1)
+                                        {
+                                            Store (One, KCLE)
+                                        }
+                                        Else
+                                        {
+                                            Store (Zero, KCLE)
+                                        }
+                                    }
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, 0x0B))
+                                    {
+                                        If (LOr (LEqual (Arg2, 0x62), LEqual (Arg2, 0x66)))
+                                        {
+                                            If (Arg1)
+                                            {
+                                                Store (One, MCLE)
+                                            }
+                                            Else
+                                            {
+                                                Store (Zero, MCLE)
+                                            }
+                                        }
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x0C))
+                                        {
+                                            If (LEqual (Arg2, 0x2E))
+                                            {
+                                                If (Arg1)
+                                                {
+                                                    Store (One, C1LE)
+                                                }
+                                                Else
+                                                {
+                                                    Store (Zero, C1LE)
+                                                }
+                                            }
+
+                                            If (LEqual (Arg2, 0x4E))
+                                            {
+                                                If (Arg1)
+                                                {
+                                                    Store (One, C2LE)
+                                                }
+                                                Else
+                                                {
+                                                    Store (Zero, C2LE)
+                                                }
+                                            }
+                                        }
+                                        Else
+                                        {
+                                            If (LEqual (_T_0, 0x0D))
+                                            {
+                                                If (LEqual (Arg2, 0x2E))
+                                                {
+                                                    If (Arg1)
+                                                    {
+                                                        Store (One, C1LE)
+                                                    }
+                                                    Else
+                                                    {
+                                                        Store (Zero, C1LE)
+                                                    }
+                                                }
+
+                                                If (LEqual (Arg2, 0x4E))
+                                                {
+                                                    If (Arg1)
+                                                    {
+                                                        Store (One, C2LE)
+                                                    }
+                                                    Else
+                                                    {
+                                                        Store (Zero, C2LE)
+                                                    }
+                                                }
+                                            }
+                                        }
+                                    }
+                                }
+                            }
+                        }
+                    }
+                }
+            }
+        }
+    }
+
+    Method (RDMA, 3, NotSerialized)
+    {
+    }
+
+    Scope (_SB.PCI0)
+    {
+        Method (NPTS, 1, NotSerialized)
+        {
+        }
+
+        Method (NWAK, 1, NotSerialized)
+        {
+        }
+    }
+
+    Scope (_SB.PCI0.PEG0)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.PEG0.PEGP)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.PEG1)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.PEG2)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0.PEG3)
+    {
+        Method (_PRW, 0, NotSerialized)
+        {
+            Return (GPRW (0x09, 0x04))
+        }
+    }
+
+    Scope (_SB.PCI0)
+    {
+        Device (GFX0)
+        {
+            Name (_ADR, 0x00020000)
+            Method (PCPC, 0, NotSerialized)
+            {
+                If (ECON)
+                {
+                    Store (0x02, ^^LPCB.H_EC.SLPC)
+                }
+
+                ECST (0x6F)
+            }
+
+            Method (PAPR, 0, NotSerialized)
+            {
+                If (ECON)
+                {
+                    Return (Divide (^^LPCB.H_EC.MCAP, 0x64, ))
+                }
+                Else
+                {
+                    Return (Zero)
+                }
+            }
+
+            Method (_DOS, 1, NotSerialized)
+            {
+                Store (And (Arg0, 0x07), DSEN)
+                If (LEqual (And (Arg0, 0x03), Zero))
+                {
+                    If (CondRefOf (HDOS))
+                    {
+                        HDOS ()
+                    }
+                }
+            }
+
+            Method (_DOD, 0, NotSerialized)
+            {
+                If (CondRefOf (IDAB))
+                {
+                    IDAB ()
+                }
+                Else
+                {
+                    Store (Zero, NDID)
+                    If (LNotEqual (DIDL, Zero))
+                    {
+                        Store (SDDL (DIDL), DID1)
+                    }
+
+                    If (LNotEqual (DDL2, Zero))
+                    {
+                        Store (SDDL (DDL2), DID2)
+                    }
+
+                    If (LNotEqual (DDL3, Zero))
+                    {
+                        Store (SDDL (DDL3), DID3)
+                    }
+
+                    If (LNotEqual (DDL4, Zero))
+                    {
+                        Store (SDDL (DDL4), DID4)
+                    }
+
+                    If (LNotEqual (DDL5, Zero))
+                    {
+                        Store (SDDL (DDL5), DID5)
+                    }
+
+                    If (LNotEqual (DDL6, Zero))
+                    {
+                        Store (SDDL (DDL6), DID6)
+                    }
+
+                    If (LNotEqual (DDL7, Zero))
+                    {
+                        Store (SDDL (DDL7), DID7)
+                    }
+
+                    If (LNotEqual (DDL8, Zero))
+                    {
+                        Store (SDDL (DDL8), DID8)
+                    }
+                }
+
+                If (LEqual (NDID, One))
+                {
+                    Name (TMP1, Package (0x01)
+                    {
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP1, Zero))
+                    Return (TMP1)
+                }
+
+                If (LEqual (NDID, 0x02))
+                {
+                    Name (TMP2, Package (0x02)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP2, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP2, One))
+                    Return (TMP2)
+                }
+
+                If (LEqual (NDID, 0x03))
+                {
+                    Name (TMP3, Package (0x03)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP3, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP3, One))
+                    Store (Or (0x00010000, DID3), Index (TMP3, 0x02))
+                    Return (TMP3)
+                }
+
+                If (LEqual (NDID, 0x04))
+                {
+                    Name (TMP4, Package (0x04)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP4, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP4, One))
+                    Store (Or (0x00010000, DID3), Index (TMP4, 0x02))
+                    Store (Or (0x00010000, DID4), Index (TMP4, 0x03))
+                    Return (TMP4)
+                }
+
+                If (LEqual (NDID, 0x05))
+                {
+                    Name (TMP5, Package (0x05)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP5, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP5, One))
+                    Store (Or (0x00010000, DID3), Index (TMP5, 0x02))
+                    Store (Or (0x00010000, DID4), Index (TMP5, 0x03))
+                    Store (Or (0x00010000, DID5), Index (TMP5, 0x04))
+                    Return (TMP5)
+                }
+
+                If (LEqual (NDID, 0x06))
+                {
+                    Name (TMP6, Package (0x06)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP6, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP6, One))
+                    Store (Or (0x00010000, DID3), Index (TMP6, 0x02))
+                    Store (Or (0x00010000, DID4), Index (TMP6, 0x03))
+                    Store (Or (0x00010000, DID5), Index (TMP6, 0x04))
+                    Store (Or (0x00010000, DID6), Index (TMP6, 0x05))
+                    Return (TMP6)
+                }
+
+                If (LEqual (NDID, 0x07))
+                {
+                    Name (TMP7, Package (0x07)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP7, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP7, One))
+                    Store (Or (0x00010000, DID3), Index (TMP7, 0x02))
+                    Store (Or (0x00010000, DID4), Index (TMP7, 0x03))
+                    Store (Or (0x00010000, DID5), Index (TMP7, 0x04))
+                    Store (Or (0x00010000, DID6), Index (TMP7, 0x05))
+                    Store (Or (0x00010000, DID7), Index (TMP7, 0x06))
+                    Return (TMP7)
+                }
+
+                If (LEqual (NDID, 0x08))
+                {
+                    Name (TMP8, Package (0x08)
+                    {
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF, 
+                        0xFFFFFFFF
+                    })
+                    Store (Or (0x00010000, DID1), Index (TMP8, Zero))
+                    Store (Or (0x00010000, DID2), Index (TMP8, One))
+                    Store (Or (0x00010000, DID3), Index (TMP8, 0x02))
+                    Store (Or (0x00010000, DID4), Index (TMP8, 0x03))
+                    Store (Or (0x00010000, DID5), Index (TMP8, 0x04))
+                    Store (Or (0x00010000, DID6), Index (TMP8, 0x05))
+                    Store (Or (0x00010000, DID7), Index (TMP8, 0x06))
+                    Store (Or (0x00010000, DID8), Index (TMP8, 0x07))
+                    Return (TMP8)
+                }
+
+                If (LEqual (NDID, 0x09))
+                {
+                    If (CondRefOf (HWID))
+                    {
+                        Return (HWID)
+                    }
+                }
+
+                Return (Package (0x01)
+                {
+                    0x0400
+                })
+            }
+
+            Device (DD01)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID1, Zero))
+                    {
+                        Return (One)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID1))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    Return (CDDS (DID1))
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD1)
+                    }
+
+                    Return (NDDS (DID1))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Device (DD02)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID2, Zero))
+                    {
+                        Return (0x02)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID2))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (LIDS, Zero))
+                    {
+                        Return (Zero)
+                    }
+
+                    Return (CDDS (DID2))
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD2)
+                    }
+
+                    Return (NDDS (DID2))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+
+                Method (_BCL, 0, NotSerialized)
+                {
+                    Return (Package (0x17)
+                    {
+                        0x50, 
+                        0x32, 
+                        Zero, 
+                        0x05, 
+                        0x0A, 
+                        0x0F, 
+                        0x14, 
+                        0x19, 
+                        0x1E, 
+                        0x23, 
+                        0x28, 
+                        0x2D, 
+                        0x32, 
+                        0x37, 
+                        0x3C, 
+                        0x41, 
+                        0x46, 
+                        0x4B, 
+                        0x50, 
+                        0x55, 
+                        0x5A, 
+                        0x5F, 
+                        0x64
+                    })
+                }
+
+                Method (_BCM, 1, NotSerialized)
+                {
+                    If (LAnd (LGreaterEqual (Arg0, Zero), LLessEqual (Arg0, 0x64)))
+                    {
+                        AINT (One, Arg0)
+                        Store (Arg0, BRTL)
+                    }
+                }
+
+                Method (_BQC, 0, NotSerialized)
+                {
+                    Return (BRTL)
+                }
+            }
+
+            Device (DD03)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID3, Zero))
+                    {
+                        Return (0x03)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID3))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (DID3, Zero))
+                    {
+                        Return (0x0B)
+                    }
+                    Else
+                    {
+                        Return (CDDS (DID3))
+                    }
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD3)
+                    }
+
+                    Return (NDDS (DID3))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Device (DD04)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID4, Zero))
+                    {
+                        Return (0x04)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID4))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (DID4, Zero))
+                    {
+                        Return (0x0B)
+                    }
+                    Else
+                    {
+                        Return (CDDS (DID4))
+                    }
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD4)
+                    }
+
+                    Return (NDDS (DID4))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Device (DD05)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID5, Zero))
+                    {
+                        Return (0x05)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID5))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (DID5, Zero))
+                    {
+                        Return (0x0B)
+                    }
+                    Else
+                    {
+                        Return (CDDS (DID5))
+                    }
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD5)
+                    }
+
+                    Return (NDDS (DID5))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Device (DD06)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID6, Zero))
+                    {
+                        Return (0x06)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID6))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (DID6, Zero))
+                    {
+                        Return (0x0B)
+                    }
+                    Else
+                    {
+                        Return (CDDS (DID6))
+                    }
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD6)
+                    }
+
+                    Return (NDDS (DID6))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Device (DD07)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID7, Zero))
+                    {
+                        Return (0x07)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID7))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (DID7, Zero))
+                    {
+                        Return (0x0B)
+                    }
+                    Else
+                    {
+                        Return (CDDS (DID7))
+                    }
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD7)
+                    }
+
+                    Return (NDDS (DID7))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Device (DD08)
+            {
+                Method (_ADR, 0, Serialized)
+                {
+                    If (LEqual (DID8, Zero))
+                    {
+                        Return (0x08)
+                    }
+                    Else
+                    {
+                        Return (And (0xFFFF, DID8))
+                    }
+                }
+
+                Method (_DCS, 0, NotSerialized)
+                {
+                    If (LEqual (DID8, Zero))
+                    {
+                        Return (0x0B)
+                    }
+                    Else
+                    {
+                        Return (CDDS (DID8))
+                    }
+                }
+
+                Method (_DGS, 0, NotSerialized)
+                {
+                    If (LAnd (LEqual (And (SGMD, 0x7F), One), CondRefOf (SNXD
+                        )))
+                    {
+                        Return (NXD8)
+                    }
+
+                    Return (NDDS (DID8))
+                }
+
+                Method (_DSS, 1, NotSerialized)
+                {
+                    If (LEqual (And (Arg0, 0xC0000000), 0xC0000000))
+                    {
+                        Store (NSTE, CSTE)
+                    }
+                }
+            }
+
+            Method (SDDL, 1, NotSerialized)
+            {
+                Increment (NDID)
+                Store (And (Arg0, 0x0F0F), Local0)
+                Or (0x80000000, Local0, Local1)
+                If (LEqual (DIDL, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL2, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL3, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL4, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL5, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL6, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL7, Local0))
+                {
+                    Return (Local1)
+                }
+
+                If (LEqual (DDL8, Local0))
+                {
+                    Return (Local1)
+                }
+
+                Return (Zero)
+            }
+
+            Method (CDDS, 1, NotSerialized)
+            {
+                Store (And (Arg0, 0x0F0F), Local0)
+                If (LEqual (Zero, Local0))
+                {
+                    Return (0x1D)
+                }
+
+                If (LEqual (CADL, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL2, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL3, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL4, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL5, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL6, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL7, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                If (LEqual (CAL8, Local0))
+                {
+                    Return (0x1F)
+                }
+
+                Return (0x1D)
+            }
+
+            Method (NDDS, 1, NotSerialized)
+            {
+                Store (And (Arg0, 0x0F0F), Local0)
+                If (LEqual (Zero, Local0))
+                {
+                    Return (Zero)
+                }
+
+                If (LEqual (NADL, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL2, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL3, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL4, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL5, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL6, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL7, Local0))
+                {
+                    Return (One)
+                }
+
+                If (LEqual (NDL8, Local0))
+                {
+                    Return (One)
+                }
+
+                Return (Zero)
+            }
+
+            Scope (^^PCI0)
+            {
+                OperationRegion (MCHP, PCI_Config, 0x40, 0xC0)
+                Field (MCHP, AnyAcc, NoLock, Preserve)
+                {
+                            Offset (0x60), 
+                    TASM,   10, 
+                            Offset (0x62)
+                }
+            }
+
+            OperationRegion (IGDP, PCI_Config, 0x40, 0xC0)
+            Field (IGDP, AnyAcc, NoLock, Preserve)
+            {
+                        Offset (0x12), 
+                    ,   1, 
+                GIVD,   1, 
+                    ,   2, 
+                GUMA,   3, 
+                        Offset (0x14), 
+                    ,   4, 
+                GMFN,   1, 
+                        Offset (0x18), 
+                        Offset (0xA4), 
+                ASLE,   8, 
+                        Offset (0xA8), 
+                GSSE,   1, 
+                GSSB,   14, 
+                GSES,   1, 
+                        Offset (0xB0), 
+                    ,   12, 
+                CDVL,   1, 
+                        Offset (0xB2), 
+                        Offset (0xB5), 
+                LBPC,   8, 
+                        Offset (0xBC), 
+                ASLS,   32
+            }
+
+            OperationRegion (IGDM, SystemMemory, ASLB, 0x2000)
+            Field (IGDM, AnyAcc, NoLock, Preserve)
+            {
+                SIGN,   128, 
+                SIZE,   32, 
+                OVER,   32, 
+                SVER,   256, 
+                VVER,   128, 
+                GVER,   128, 
+                MBOX,   32, 
+                DMOD,   32, 
+                        Offset (0x100), 
+                DRDY,   32, 
+                CSTS,   32, 
+                CEVT,   32, 
+                        Offset (0x120), 
+                DIDL,   32, 
+                DDL2,   32, 
+                DDL3,   32, 
+                DDL4,   32, 
+                DDL5,   32, 
+                DDL6,   32, 
+                DDL7,   32, 
+                DDL8,   32, 
+                CPDL,   32, 
+                CPL2,   32, 
+                CPL3,   32, 
+                CPL4,   32, 
+                CPL5,   32, 
+                CPL6,   32, 
+                CPL7,   32, 
+                CPL8,   32, 
+                CADL,   32, 
+                CAL2,   32, 
+                CAL3,   32, 
+                CAL4,   32, 
+                CAL5,   32, 
+                CAL6,   32, 
+                CAL7,   32, 
+                CAL8,   32, 
+                NADL,   32, 
+                NDL2,   32, 
+                NDL3,   32, 
+                NDL4,   32, 
+                NDL5,   32, 
+                NDL6,   32, 
+                NDL7,   32, 
+                NDL8,   32, 
+                ASLP,   32, 
+                TIDX,   32, 
+                CHPD,   32, 
+                CLID,   32, 
+                CDCK,   32, 
+                SXSW,   32, 
+                EVTS,   32, 
+                CNOT,   32, 
+                NRDY,   32, 
+                        Offset (0x200), 
+                SCIE,   1, 
+                GEFC,   4, 
+                GXFC,   3, 
+                GESF,   8, 
+                        Offset (0x204), 
+                PARM,   32, 
+                DSLP,   32, 
+                        Offset (0x300), 
+                ARDY,   32, 
+                ASLC,   32, 
+                TCHE,   32, 
+                ALSI,   32, 
+                BCLP,   32, 
+                PFIT,   32, 
+                CBLV,   32, 
+                BCLM,   320, 
+                CPFM,   32, 
+                EPFM,   32, 
+                PLUT,   592, 
+                PFMB,   32, 
+                CCDV,   32, 
+                PCFT,   32, 
+                        Offset (0x400), 
+                GVD1,   49152, 
+                PHED,   32, 
+                BDDC,   2048
+            }
+
+            Name (DBTB, Package (0x15)
+            {
+                Zero, 
+                0x07, 
+                0x38, 
+                0x01C0, 
+                0x0E00, 
+                0x3F, 
+                0x01C7, 
+                0x0E07, 
+                0x01F8, 
+                0x0E38, 
+                0x0FC0, 
+                Zero, 
+                Zero, 
+                Zero, 
+                Zero, 
+                Zero, 
+                0x7000, 
+                0x7007, 
+                0x7038, 
+                0x71C0, 
+                0x7E00
+            })
+            Name (CDCT, Package (0x05)
+            {
+                Package (0x02)
+                {
+                    0xE4, 
+                    0x0140
+                }, 
+
+                Package (0x02)
+                {
+                    0xDE, 
+                    0x014D
+                }, 
+
+                Package (0x02)
+                {
+                    0xDE, 
+                    0x014D
+                }, 
+
+                Package (0x02)
+                {
+                    Zero, 
+                    Zero
+                }, 
+
+                Package (0x02)
+                {
+                    0xDE, 
+                    0x014D
+                }
+            })
+            Name (SUCC, One)
+            Name (NVLD, 0x02)
+            Name (CRIT, 0x04)
+            Name (NCRT, 0x06)
+            Method (GSCI, 0, Serialized)
+            {
+                Method (GBDA, 0, Serialized)
+                {
+                    If (LEqual (GESF, Zero))
+                    {
+                        Store (0x0679, PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, One))
+                    {
+                        Store (0x0240, PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x04))
+                    {
+                        And (PARM, 0xEFFF0000, PARM)
+                        And (PARM, ShiftLeft (DerefOf (Index (DBTB, IBTT)), 0x10), 
+                            PARM)
+                        Or (IBTT, PARM, PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x05))
+                    {
+                        Store (IPSC, PARM)
+                        Or (PARM, ShiftLeft (IPAT, 0x08), PARM)
+                        Add (PARM, 0x0100, PARM)
+                        Or (PARM, ShiftLeft (LIDS, 0x10), PARM)
+                        Add (PARM, 0x00010000, PARM)
+                        Or (PARM, ShiftLeft (IBIA, 0x14), PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x06))
+                    {
+                        Store (ITVF, PARM)
+                        Or (PARM, ShiftLeft (ITVM, 0x04), PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x07))
+                    {
+                        Store (GIVD, PARM)
+                        XOr (PARM, One, PARM)
+                        Or (PARM, ShiftLeft (GMFN, One), PARM)
+                        Or (PARM, 0x1800, PARM)
+                        Or (PARM, ShiftLeft (IDMS, 0x11), PARM)
+                        Or (ShiftLeft (DerefOf (Index (DerefOf (Index (CDCT, HVCO)), CDVL
+                            )), 0x15), PARM, PARM)
+                        Store (One, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x0A))
+                    {
+                        Store (Zero, PARM)
+                        If (ISSC)
+                        {
+                            Or (PARM, 0x03, PARM)
+                        }
+
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x0B))
+                    {
+                        Store (KSV0, PARM)
+                        Store (KSV1, GESF)
+                        Return (SUCC)
+                    }
+
+                    Store (Zero, GESF)
+                    Return (CRIT)
+                }
+
+                Method (SBCB, 0, Serialized)
+                {
+                    If (LEqual (GESF, Zero))
+                    {
+                        Store (Zero, PARM)
+                        Store (0x000F87FD, PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, One))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x03))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x04))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x05))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x06))
+                    {
+                        Store (And (PARM, 0x0F), ITVF)
+                        Store (ShiftRight (And (PARM, 0xF0), 0x04), ITVM)
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x07))
+                    {
+                        If (LEqual (PARM, Zero))
+                        {
+                            Store (CLID, Local0)
+                            If (And (0x80000000, Local0))
+                            {
+                                And (CLID, 0x0F, CLID)
+                                GLID (CLID)
+                            }
+                        }
+
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x08))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x09))
+                    {
+                        And (PARM, 0xFF, IBTT)
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x0A))
+                    {
+                        And (PARM, 0xFF, IPSC)
+                        If (And (ShiftRight (PARM, 0x08), 0xFF))
+                        {
+                            And (ShiftRight (PARM, 0x08), 0xFF, IPAT)
+                            Decrement (IPAT)
+                        }
+
+                        And (ShiftRight (PARM, 0x14), 0x07, IBIA)
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x0B))
+                    {
+                        And (ShiftRight (PARM, One), One, IF1E)
+                        If (And (PARM, 0x0001E000))
+                        {
+                            And (ShiftRight (PARM, 0x0D), 0x0F, IDMS)
+                        }
+                        Else
+                        {
+                            And (ShiftRight (PARM, 0x11), 0x0F, IDMS)
+                        }
+
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x10))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x11))
+                    {
+                        Store (ShiftLeft (LIDS, 0x08), PARM)
+                        Add (PARM, 0x0100, PARM)
+                        Store (Zero, GESF)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x12))
+                    {
+                        If (And (PARM, One))
+                        {
+                            If (LEqual (ShiftRight (PARM, One), One))
+                            {
+                                Store (One, ISSC)
+                            }
+                            Else
+                            {
+                                Store (Zero, GESF)
+                                Return (CRIT)
+                            }
+                        }
+                        Else
+                        {
+                            Store (Zero, ISSC)
+                        }
+
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x13))
+                    {
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    If (LEqual (GESF, 0x14))
+                    {
+                        And (PARM, 0x0F, PAVP)
+                        Store (Zero, GESF)
+                        Store (Zero, PARM)
+                        Return (SUCC)
+                    }
+
+                    Store (Zero, GESF)
+                    Return (SUCC)
+                }
+
+                If (LEqual (GEFC, 0x04))
+                {
+                    Store (GBDA (), GXFC)
+                }
+
+                If (LEqual (GEFC, 0x06))
+                {
+                    Store (SBCB (), GXFC)
+                }
+
+                Store (Zero, GEFC)
+                Store (One, SCIS)
+                Store (Zero, GSSE)
+                Store (Zero, SCIE)
+                Return (Zero)
+            }
+
+            Method (PDRD, 0, NotSerialized)
+            {
+                If (LNot (DRDY))
+                {
+                    Sleep (ASLP)
+                }
+
+                Return (LNot (DRDY))
+            }
+
+            Method (PSTS, 0, NotSerialized)
+            {
+                If (LGreater (CSTS, 0x02))
+                {
+                    Sleep (ASLP)
+                }
+
+                Return (LEqual (CSTS, 0x03))
+            }
+
+            Method (GNOT, 2, NotSerialized)
+            {
+                If (PDRD ())
+                {
+                    Return (One)
+                }
+
+                Store (Arg0, CEVT)
+                Store (0x03, CSTS)
+                If (LAnd (LEqual (CHPD, Zero), LEqual (Arg1, Zero)))
+                {
+                    If (LOr (LGreater (OSYS, 0x07D0), LLess (OSYS, 0x07D6)))
+                    {
+                        Notify (PCI0, Arg1)
+                    }
+                    Else
+                    {
+                        Notify (GFX0, Arg1)
+                    }
+                }
+
+                If (CondRefOf (HNOT))
+                {
+                    HNOT (Arg0)
+                }
+                Else
+                {
+                    Notify (GFX0, 0x80)
+                }
+
+                Return (Zero)
+            }
+
+            Method (GHDS, 1, NotSerialized)
+            {
+                Store (Arg0, TIDX)
+                Return (GNOT (One, Zero))
+            }
+
+            Method (GLID, 1, NotSerialized)
+            {
+                If (LEqual (Arg0, One))
+                {
+                    Store (0x03, CLID)
+                }
+                Else
+                {
+                    Store (Arg0, CLID)
+                }
+
+                Return (GNOT (0x02, Zero))
+            }
+
+            Method (GDCK, 1, NotSerialized)
+            {
+                Store (Arg0, CDCK)
+                Return (GNOT (0x04, Zero))
+            }
+
+            Method (PARD, 0, NotSerialized)
+            {
+                If (LNot (ARDY))
+                {
+                    Sleep (ASLP)
+                }
+
+                Return (LNot (ARDY))
+            }
+
+            Method (AINT, 2, NotSerialized)
+            {
+                If (LNot (And (TCHE, ShiftLeft (One, Arg0))))
+                {
+                    Return (One)
+                }
+
+                If (PARD ())
+                {
+                    Return (One)
+                }
+
+                If (LEqual (Arg0, 0x02))
+                {
+                    If (CPFM)
+                    {
+                        And (CPFM, 0x0F, Local0)
+                        And (EPFM, 0x0F, Local1)
+                        If (LEqual (Local0, One))
+                        {
+                            If (And (Local1, 0x06))
+                            {
+                                Store (0x06, PFIT)
+                            }
+                            Else
+                            {
+                                If (And (Local1, 0x08))
+                                {
+                                    Store (0x08, PFIT)
+                                }
+                                Else
+                                {
+                                    Store (One, PFIT)
+                                }
+                            }
+                        }
+
+                        If (LEqual (Local0, 0x06))
+                        {
+                            If (And (Local1, 0x08))
+                            {
+                                Store (0x08, PFIT)
+                            }
+                            Else
+                            {
+                                If (And (Local1, One))
+                                {
+                                    Store (One, PFIT)
+                                }
+                                Else
+                                {
+                                    Store (0x06, PFIT)
+                                }
+                            }
+                        }
+
+                        If (LEqual (Local0, 0x08))
+                        {
+                            If (And (Local1, One))
+                            {
+                                Store (One, PFIT)
+                            }
+                            Else
+                            {
+                                If (And (Local1, 0x06))
+                                {
+                                    Store (0x06, PFIT)
+                                }
+                                Else
+                                {
+                                    Store (0x08, PFIT)
+                                }
+                            }
+                        }
+                    }
+                    Else
+                    {
+                        XOr (PFIT, 0x07, PFIT)
+                    }
+
+                    Or (PFIT, 0x80000000, PFIT)
+                    Store (0x04, ASLC)
+                }
+                Else
+                {
+                    If (LEqual (Arg0, One))
+                    {
+                        Store (Divide (Multiply (Arg1, 0xFF), 0x64, ), BCLP)
+                        Or (BCLP, 0x80000000, BCLP)
+                        Store (0x02, ASLC)
+                    }
+                    Else
+                    {
+                        If (LEqual (Arg0, Zero))
+                        {
+                            Store (Arg1, ALSI)
+                            Store (One, ASLC)
+                        }
+                        Else
+                        {
+                            Return (One)
+                        }
+                    }
+                }
+
+                Store (One, ASLE)
+                Return (Zero)
+            }
+
+            Method (SCIP, 0, NotSerialized)
+            {
+                If (LNotEqual (OVER, Zero))
+                {
+                    Return (LNot (GSMI))
+                }
+
+                Return (Zero)
+            }
+
+            Device (^^MEM2)
+            {
+                Name (_HID, EisaId ("PNP0C01"))
+                Name (_UID, 0x02)
+                Name (CRS1, ResourceTemplate ()
+                {
+                    Memory32Fixed (ReadWrite,
+                        0x20000000,         // Address Base
+                        0x00200000,         // Address Length
+                        )
+                    Memory32Fixed (ReadWrite,
+                        0x40000000,         // Address Base
+                        0x00200000,         // Address Length
+                        )
+                })
+                Name (CRS2, ResourceTemplate ()
+                {
+                    Memory32Fixed (ReadWrite,
+                        0x20000000,         // Address Base
+                        0x00200000,         // Address Length
+                        )
+                    Memory32Fixed (ReadWrite,
+                        0x40004000,         // Address Base
+                        0x00001000,         // Address Length
+                        )
+                })
+                Method (_STA, 0, NotSerialized)
+                {
+                    If (IGDS)
+                    {
+                        Return (0x0F)
+                    }
+
+                    Return (Zero)
+                }
+
+                Method (_CRS, 0, NotSerialized)
+                {
+                    If (LOr (LEqual (And (PNHM, 0x0FFF0FF0), 0x000206A0), LEqual (And (
+                        PNHM, 0x0FFF0FFF), 0x000306A0)))
+                    {
+                        Return (CRS1)
+                    }
+
+                    Return (CRS2)
+                }
+            }
+        }
+    }
+
+    Scope (_PR)
+    {
+        Processor (CPU0, 0x01, 0x00000410, 0x06) {}
+        Processor (CPU1, 0x02, 0x00000410, 0x06) {}
+        Processor (CPU2, 0x03, 0x00000410, 0x06) {}
+        Processor (CPU3, 0x04, 0x00000410, 0x06) {}
+        Processor (CPU4, 0x05, 0x00000410, 0x06) {}
+        Processor (CPU5, 0x06, 0x00000410, 0x06) {}
+        Processor (CPU6, 0x07, 0x00000410, 0x06) {}
+        Processor (CPU7, 0x08, 0x00000410, 0x06) {}
+    }
+
+    Mutex (MUTX, 0x00)
+    OperationRegion (DEB0, SystemIO, 0x80, One)
+    Field (DEB0, ByteAcc, NoLock, Preserve)
+    {
+        DBG8,   8
+    }
+
+    OperationRegion (DEB1, SystemIO, 0x90, 0x02)
+    Field (DEB1, WordAcc, NoLock, Preserve)
+    {
+        DBG9,   16
+    }
+
+    OperationRegion (PRT0, SystemIO, 0x80, 0x04)
+    Field (PRT0, DWordAcc, Lock, Preserve)
+    {
+        P80H,   32
+    }
+
+    Method (P8XH, 2, Serialized)
+    {
+        If (LEqual (Arg0, Zero))
+        {
+            Store (Or (And (P80D, 0xFFFFFF00), Arg1), P80D)
+        }
+
+        If (LEqual (Arg0, One))
+        {
+            Store (Or (And (P80D, 0xFFFF00FF), ShiftLeft (Arg1, 0x08)
+                ), P80D)
+        }
+
+        If (LEqual (Arg0, 0x02))
+        {
+            Store (Or (And (P80D, 0xFF00FFFF), ShiftLeft (Arg1, 0x10)
+                ), P80D)
+        }
+
+        If (LEqual (Arg0, 0x03))
+        {
+            Store (Or (And (P80D, 0x00FFFFFF), ShiftLeft (Arg1, 0x18)
+                ), P80D)
+        }
+
+        Store (P80D, P80H)
+    }
+
+    OperationRegion (SPRT, SystemIO, 0xB2, 0x02)
+    Field (SPRT, ByteAcc, Lock, Preserve)
+    {
+        SSMP,   8
+    }
+
+    Method (_PIC, 1, NotSerialized)
+    {
+        Store (Arg0, GPIC)
+        Store (Arg0, PICM)
+    }
+
+    Method (_PTS, 1, NotSerialized)
+    {
+        Store (Zero, P80D)
+        P8XH (Zero, Arg0)
+        PTS (Arg0)
+        If (LEqual (Arg0, 0x03))
+        {
+            If (LAnd (DTSE, LGreater (TCNT, One)))
+            {
+                TRAP (TRTD, 0x1E)
+            }
+        }
+
+        If (LOr (LOr (LEqual (Arg0, 0x03), LEqual (Arg0, 0x04)), LEqual (
+            Arg0, 0x05)))
+        {
+            If (LEqual (PFLV, FDTP))
+            {
+                Store (One, GP27)
+            }
+        }
+    }
+
+    Method (_WAK, 1, Serialized)
+    {
+        P8XH (One, 0xAB)
+        WAK (Arg0)
+        If (NEXP)
+        {
+            If (And (OSCC, 0x02))
+            {
+                \_SB.PCI0.NHPG ()
+            }
+
+            If (And (OSCC, 0x04))
+            {
+                \_SB.PCI0.NPME ()
+            }
+        }
+
+        If (LEqual (Arg0, 0x03))
+        {
+            If (LEqual (Zero, ACTT))
+            {
+                If (LEqual (ECON, One))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.CFAN)
+                }
+            }
+        }
+
+        If (LOr (LEqual (Arg0, 0x03), LEqual (Arg0, 0x04)))
+        {
+            If (LAnd (DTSE, LGreater (TCNT, One)))
+            {
+                TRAP (TRTD, 0x14)
+            }
+
+            If (LEqual (OSYS, 0x07D2))
+            {
+                If (And (CFGD, One))
+                {
+                    If (LGreater (\_PR.CPU0._PPC, Zero))
+                    {
+                        Subtract (\_PR.CPU0._PPC, One, \_PR.CPU0._PPC)
+                        PNOT ()
+                        Add (\_PR.CPU0._PPC, One, \_PR.CPU0._PPC)
+                        PNOT ()
+                    }
+                    Else
+                    {
+                        Add (\_PR.CPU0._PPC, One, \_PR.CPU0._PPC)
+                        PNOT ()
+                        Subtract (\_PR.CPU0._PPC, One, \_PR.CPU0._PPC)
+                        PNOT ()
+                    }
+                }
+            }
+
+            If (LEqual (ECON, One))
+            {
+                If (LNotEqual (LIDS, \_SB.PCI0.LPCB.H_EC.LSTE))
+                {
+                    Store (\_SB.PCI0.LPCB.H_EC.LSTE, LIDS)
+                    If (IGDS)
+                    {
+                        If (\_SB.PCI0.GFX0.GLID (LIDS))
+                        {
+                            Or (0x80000000, \_SB.PCI0.GFX0.CLID, \_SB.PCI0.GFX0.CLID)
+                        }
+                    }
+
+                    Notify (\_SB.LID0, 0x80)
+                }
+
+                If (LNotEqual (\_SB.PCI0.LPCB.H_EC.DOCK, DSTS))
+                {
+                    Store (\_SB.PCI0.LPCB.H_EC.DOCK, DSTS)
+                    If (LAnd (\_SB.PCI0.HDEF.DCKS, One))
+                    {
+                        Store (DSTS, \_SB.PCI0.HDEF.DCKA)
+                    }
+
+                    If (LEqual (DSTS, One))
+                    {
+                        If (LEqual (Arg0, 0x03))
+                        {
+                            Sleep (0x03E8)
+                            Store (PDBR, SSMP)
+                            Sleep (0x03E8)
+                        }
+
+                        Notify (\_SB.PCI0.DOCK, Zero)
+                    }
+                    Else
+                    {
+                        Notify (\_SB.PCI0.DOCK, One)
+                    }
+                }
+
+                If (LEqual (BNUM, Zero))
+                {
+                    If (LNotEqual (\_SB.PCI0.LPCB.H_EC.VPWR, PWRS))
+                    {
+                        Store (\_SB.PCI0.LPCB.H_EC.VPWR, PWRS)
+                        PNOT ()
+                    }
+                }
+                Else
+                {
+                    If (LNotEqual (\_SB.PCI0.LPCB.H_EC.RPWR, PWRS))
+                    {
+                        Store (\_SB.PCI0.LPCB.H_EC.RPWR, PWRS)
+                        PNOT ()
+                    }
+                }
+            }
+
+            If (LEqual (RP1D, Zero))
+            {
+                Notify (\_SB.PCI0.RP01, Zero)
+            }
+
+            If (LEqual (RP2D, Zero))
+            {
+                Notify (\_SB.PCI0.RP02, Zero)
+            }
+
+            If (LEqual (RP3D, Zero))
+            {
+                Notify (\_SB.PCI0.RP03, Zero)
+            }
+
+            If (LEqual (RP4D, Zero))
+            {
+                Notify (\_SB.PCI0.RP04, Zero)
+            }
+
+            If (LEqual (RP5D, Zero))
+            {
+                Notify (\_SB.PCI0.RP05, Zero)
+            }
+
+            If (LEqual (RP6D, Zero))
+            {
+                Notify (\_SB.PCI0.RP06, Zero)
+            }
+
+            If (LEqual (RP7D, Zero))
+            {
+                If (LEqual (DSTS, Zero))
+                {
+                    Notify (\_SB.PCI0.RP07, Zero)
+                }
+            }
+
+            If (LEqual (RP8D, Zero))
+            {
+                If (LEqual (DSTS, Zero))
+                {
+                    Notify (\_SB.PCI0.RP08, Zero)
+                }
+            }
+        }
+
+        Return (Package (0x02)
+        {
+            Zero, 
+            Zero
+        })
+    }
+
+    Method (GETB, 3, Serialized)
+    {
+        Multiply (Arg0, 0x08, Local0)
+        Multiply (Arg1, 0x08, Local1)
+        CreateField (Arg2, Local0, Local1, TBF3)
+        Return (TBF3)
+    }
+
+    Method (PNOT, 0, Serialized)
+    {
+        If (LGreater (TCNT, One))
+        {
+            If (And (PDC0, 0x08))
+            {
+                Notify (\_PR.CPU0, 0x80)
+                If (And (PDC0, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU0, 0x81)
+                }
+            }
+
+            If (And (PDC1, 0x08))
+            {
+                Notify (\_PR.CPU1, 0x80)
+                If (And (PDC1, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU1, 0x81)
+                }
+            }
+
+            If (And (PDC2, 0x08))
+            {
+                Notify (\_PR.CPU2, 0x80)
+                If (And (PDC2, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU2, 0x81)
+                }
+            }
+
+            If (And (PDC3, 0x08))
+            {
+                Notify (\_PR.CPU3, 0x80)
+                If (And (PDC3, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU3, 0x81)
+                }
+            }
+
+            If (And (PDC4, 0x08))
+            {
+                Notify (\_PR.CPU4, 0x80)
+                If (And (PDC4, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU4, 0x81)
+                }
+            }
+
+            If (And (PDC5, 0x08))
+            {
+                Notify (\_PR.CPU5, 0x80)
+                If (And (PDC5, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU5, 0x81)
+                }
+            }
+
+            If (And (PDC6, 0x08))
+            {
+                Notify (\_PR.CPU6, 0x80)
+                If (And (PDC6, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU6, 0x81)
+                }
+            }
+
+            If (And (PDC7, 0x08))
+            {
+                Notify (\_PR.CPU7, 0x80)
+                If (And (PDC7, 0x10))
+                {
+                    Sleep (0x64)
+                    Notify (\_PR.CPU7, 0x81)
+                }
+            }
+        }
+        Else
+        {
+            Notify (\_PR.CPU0, 0x80)
+            Sleep (0x64)
+            Notify (\_PR.CPU0, 0x81)
+        }
+
+        If (LEqual (ECON, One))
+        {
+            Store (\_SB.PCI0.LPCB.H_EC.B1CC, B1SC)
+            Store (\_SB.PCI0.LPCB.H_EC.B1ST, B1SS)
+            Store (\_SB.PCI0.LPCB.H_EC.B2CC, B2SC)
+            Store (\_SB.PCI0.LPCB.H_EC.B2ST, B2SS)
+            If (LGreaterEqual (OSYS, 0x07D6))
+            {
+                Notify (\_SB.PCI0.LPCB.H_EC.BAT0, 0x81)
+                Notify (\_SB.PCI0.LPCB.H_EC.BAT1, 0x81)
+                Notify (\_SB.PCI0.LPCB.H_EC.BAT2, 0x81)
+            }
+            Else
+            {
+                Notify (\_SB.PCI0.LPCB.H_EC.BAT0, 0x80)
+                Notify (\_SB.PCI0.LPCB.H_EC.BAT1, 0x80)
+                Notify (\_SB.PCI0.LPCB.H_EC.BAT2, 0x80)
+            }
+        }
+    }
+
+    Method (TRAP, 2, Serialized)
+    {
+        Store (Arg1, SMIF)
+        If (LEqual (Arg0, TRTP))
+        {
+            Store (Zero, TRP0)
+        }
+
+        If (LEqual (Arg0, TRTD))
+        {
+            Store (Arg1, DTSF)
+            Store (Zero, TRPD)
+            Return (DTSF)
+        }
+
+        If (LEqual (Arg0, TRTI))
+        {
+            Store (Zero, TRPH)
+        }
+
+        Return (SMIF)
+    }
+
+    Scope (_SB.PCI0)
+    {
+        Method (_INI, 0, NotSerialized)
+        {
+            Store (0x07D0, OSYS)
+            If (CondRefOf (_OSI, Local0))
+            {
+                If (_OSI ("Windows 2001"))
+                {
+                    Store (0x07D1, OSYS)
+                }
+
+                If (_OSI ("Windows 2001 SP1"))
+                {
+                    Store (0x07D1, OSYS)
+                }
+
+                If (_OSI ("Windows 2001 SP2"))
+                {
+                    Store (0x07D2, OSYS)
+                }
+
+                If (_OSI ("Windows 2001.1"))
+                {
+                    Store (0x07D3, OSYS)
+                }
+
+                If (_OSI ("Windows 2006"))
+                {
+                    Store (0x07D6, OSYS)
+                }
+
+                If (_OSI ("Windows 2009"))
+                {
+                    Store (0x07D9, OSYS)
+                }
+            }
+        }
+
+        Method (NHPG, 0, Serialized)
+        {
+            Store (Zero, ^RP01.HPEX)
+            Store (Zero, ^RP02.HPEX)
+            Store (Zero, ^RP03.HPEX)
+            Store (Zero, ^RP04.HPEX)
+            Store (One, ^RP01.HPSX)
+            Store (One, ^RP02.HPSX)
+            Store (One, ^RP03.HPSX)
+            Store (One, ^RP04.HPSX)
+        }
+
+        Method (NPME, 0, Serialized)
+        {
+            Store (Zero, ^RP01.PMEX)
+            Store (Zero, ^RP02.PMEX)
+            Store (Zero, ^RP03.PMEX)
+            Store (Zero, ^RP04.PMEX)
+            Store (Zero, ^RP05.PMEX)
+            Store (Zero, ^RP06.PMEX)
+            Store (Zero, ^RP07.PMEX)
+            Store (Zero, ^RP08.PMEX)
+            Store (One, ^RP01.PMSX)
+            Store (One, ^RP02.PMSX)
+            Store (One, ^RP03.PMSX)
+            Store (One, ^RP04.PMSX)
+            Store (One, ^RP05.PMSX)
+            Store (One, ^RP06.PMSX)
+            Store (One, ^RP07.PMSX)
+            Store (One, ^RP08.PMSX)
+        }
+    }
+
+    Scope (\)
+    {
+        Name (PICM, Zero)
+        Name (PRWP, Package (0x02)
+        {
+            Zero, 
+            Zero
+        })
+        Method (GPRW, 2, NotSerialized)
+        {
+            Store (Arg0, Index (PRWP, Zero))
+            Store (ShiftLeft (SS1, One), Local0)
+            Or (Local0, ShiftLeft (SS2, 0x02), Local0)
+            Or (Local0, ShiftLeft (SS3, 0x03), Local0)
+            Or (Local0, ShiftLeft (SS4, 0x04), Local0)
+            If (And (ShiftLeft (One, Arg1), Local0))
+            {
+                Store (Arg1, Index (PRWP, One))
+            }
+            Else
+            {
+                ShiftRight (Local0, One, Local0)
+                FindSetLeftBit (Local0, Index (PRWP, One))
+            }
+
+            Return (PRWP)
+        }
+    }
+
+    Scope (_SB.PCI0)
+    {
+        Device (PDRC)
+        {
+            Name (_HID, EisaId ("PNP0C02"))
+            Name (_UID, One)
+            Name (BUF0, ResourceTemplate ()
+            {
+                Memory32Fixed (ReadWrite,
+                    0x00000000,         // Address Base
+                    0x00004000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0x00000000,         // Address Base
+                    0x00008000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0x00000000,         // Address Base
+                    0x00001000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0x00000000,         // Address Base
+                    0x00001000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0x00000000,         // Address Base
+                    0x00000000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0xFED20000,         // Address Base
+                    0x00020000,         // Address Length
+                    )
+                Memory32Fixed (ReadOnly,
+                    0xFED90000,         // Address Base
+                    0x00004000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0xFED45000,         // Address Base
+                    0x0004B000,         // Address Length
+                    )
+                Memory32Fixed (ReadOnly,
+                    0xFF000000,         // Address Base
+                    0x01000000,         // Address Length
+                    )
+                Memory32Fixed (ReadOnly,
+                    0xFEE00000,         // Address Base
+                    0x00100000,         // Address Length
+                    )
+                Memory32Fixed (ReadWrite,
+                    0x00000000,         // Address Base
+                    0x00001000,         // Address Length
+                    )
+            })
+            Method (_CRS, 0, Serialized)
+            {
+                CreateDWordField (BUF0, 0x04, RBR0)
+                ShiftLeft (^^LPCB.RCBA, 0x0E, RBR0)
+                CreateDWordField (BUF0, 0x7C, TBR0)
+                Store (TBAB, TBR0)
+                CreateDWordField (BUF0, 0x80, TBLN)
+                If (LEqual (TBAB, Zero))
+                {
+                    Store (Zero, TBLN)
+                }
+
+                CreateDWordField (BUF0, 0x10, MBR0)
+                ShiftLeft (MHBR, 0x0F, MBR0)
+                CreateDWordField (BUF0, 0x1C, DBR0)
+                ShiftLeft (DIBR, 0x0C, DBR0)
+                CreateDWordField (BUF0, 0x28, EBR0)
+                ShiftLeft (EPBR, 0x0C, EBR0)
+                CreateDWordField (BUF0, 0x34, XBR0)
+                ShiftLeft (PXBR, 0x1A, XBR0)
+                CreateDWordField (BUF0, 0x38, XSZ0)
+                ShiftRight (0x10000000, PXSZ, XSZ0)
+                Return (BUF0)
+            }
+        }
+    }
+
+    Method (BRTN, 1, Serialized)
+    {
+        If (LEqual (And (DID1, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD01, Arg0)
+        }
+
+        If (LEqual (And (DID2, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD02, Arg0)
+        }
+
+        If (LEqual (And (DID3, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD03, Arg0)
+        }
+
+        If (LEqual (And (DID4, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD04, Arg0)
+        }
+
+        If (LEqual (And (DID5, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD05, Arg0)
+        }
+
+        If (LEqual (And (DID6, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD06, Arg0)
+        }
+
+        If (LEqual (And (DID7, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD07, Arg0)
+        }
+
+        If (LEqual (And (DID8, 0x0F00), 0x0400))
+        {
+            Notify (\_SB.PCI0.GFX0.DD08, Arg0)
+        }
+    }
+
+    Scope (_GPE)
+    {
+        Method (_L09, 0, NotSerialized)
+        {
+            If (LEqual (RP1D, Zero))
+            {
+                \_SB.PCI0.RP01.HPME ()
+                Notify (\_SB.PCI0.RP01, 0x02)
+            }
+
+            If (LEqual (RP2D, Zero))
+            {
+                \_SB.PCI0.RP02.HPME ()
+                Notify (\_SB.PCI0.RP02, 0x02)
+            }
+
+            If (LEqual (RP3D, Zero))
+            {
+                \_SB.PCI0.RP03.HPME ()
+                Notify (\_SB.PCI0.RP03, 0x02)
+            }
+
+            If (LEqual (RP4D, Zero))
+            {
+                \_SB.PCI0.RP04.HPME ()
+                Notify (\_SB.PCI0.RP04, 0x02)
+            }
+
+            If (LEqual (RP5D, Zero))
+            {
+                \_SB.PCI0.RP05.HPME ()
+                Notify (\_SB.PCI0.RP05, 0x02)
+            }
+
+            If (LEqual (RP6D, Zero))
+            {
+                \_SB.PCI0.RP06.HPME ()
+                Notify (\_SB.PCI0.RP06, 0x02)
+            }
+
+            If (LEqual (RP7D, Zero))
+            {
+                \_SB.PCI0.RP07.HPME ()
+                Notify (\_SB.PCI0.RP07, 0x02)
+            }
+
+            If (LEqual (RP8D, Zero))
+            {
+                \_SB.PCI0.RP08.HPME ()
+                Notify (\_SB.PCI0.RP08, 0x02)
+            }
+
+            Notify (\_SB.PCI0.PEG0, 0x02)
+            Notify (\_SB.PCI0.PEG0.PEGP, 0x02)
+            Notify (\_SB.PCI0.PEG1, 0x02)
+            Notify (\_SB.PCI0.PEG2, 0x02)
+            Notify (\_SB.PCI0.PEG3, 0x02)
+        }
+
+        Method (_L0D, 0, NotSerialized)
+        {
+            Notify (\_SB.PCI0.EHC1, 0x02)
+            Notify (\_SB.PCI0.EHC2, 0x02)
+            Notify (\_SB.PCI0.HDEF, 0x02)
+            Notify (\_SB.PCI0.GLAN, 0x02)
+        }
+
+        Method (_L01, 0, NotSerialized)
+        {
+            Add (L01C, One, L01C)
+            P8XH (Zero, One)
+            P8XH (One, L01C)
+            If (LAnd (LEqual (RP1D, Zero), \_SB.PCI0.RP01.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP01.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP01.PDCX)
+                    Store (One, \_SB.PCI0.RP01.HPSX)
+                    If (LNot (\_SB.PCI0.RP01.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP01.L0SE)
+                    }
+
+                    Notify (\_SB.PCI0.RP01, Zero)
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP01.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP2D, Zero), \_SB.PCI0.RP02.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP02.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP02.PDCX)
+                    Store (One, \_SB.PCI0.RP02.HPSX)
+                    If (LNot (\_SB.PCI0.RP02.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP02.L0SE)
+                    }
+
+                    Notify (\_SB.PCI0.RP02, Zero)
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP02.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP3D, Zero), \_SB.PCI0.RP03.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP03.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP03.PDCX)
+                    Store (One, \_SB.PCI0.RP03.HPSX)
+                    If (LNot (\_SB.PCI0.RP03.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP03.L0SE)
+                    }
+
+                    Notify (\_SB.PCI0.RP03, Zero)
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP03.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP4D, Zero), \_SB.PCI0.RP04.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP04.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP04.PDCX)
+                    Store (One, \_SB.PCI0.RP04.HPSX)
+                    If (LNot (\_SB.PCI0.RP04.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP04.L0SE)
+                    }
+
+                    Notify (\_SB.PCI0.RP04, Zero)
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP04.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP5D, Zero), \_SB.PCI0.RP05.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP05.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP05.PDCX)
+                    Store (One, \_SB.PCI0.RP05.HPSX)
+                    If (LNot (\_SB.PCI0.RP05.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP05.L0SE)
+                    }
+
+                    Notify (\_SB.PCI0.RP05, Zero)
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP05.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP6D, Zero), \_SB.PCI0.RP06.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP06.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP06.PDCX)
+                    Store (One, \_SB.PCI0.RP06.HPSX)
+                    If (LNot (\_SB.PCI0.RP06.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP06.L0SE)
+                    }
+
+                    Notify (\_SB.PCI0.RP06, Zero)
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP06.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP7D, Zero), \_SB.PCI0.RP07.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP07.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP07.PDCX)
+                    Store (One, \_SB.PCI0.RP07.HPSX)
+                    If (LNot (\_SB.PCI0.RP07.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP07.L0SE)
+                    }
+
+                    If (ECON)
+                    {
+                        If (LEqual (\_SB.PCI0.LPCB.H_EC.DOCK, Zero))
+                        {
+                            Notify (\_SB.PCI0.RP07, Zero)
+                        }
+                    }
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP07.HPSX)
+                }
+            }
+
+            If (LAnd (LEqual (RP8D, Zero), \_SB.PCI0.RP08.HPSX))
+            {
+                Sleep (0x64)
+                If (\_SB.PCI0.RP08.PDCX)
+                {
+                    Store (One, \_SB.PCI0.RP08.PDCX)
+                    Store (One, \_SB.PCI0.RP08.HPSX)
+                    If (LNot (\_SB.PCI0.RP08.PDSX))
+                    {
+                        Store (Zero, \_SB.PCI0.RP08.L0SE)
+                    }
+
+                    If (ECON)
+                    {
+                        If (LEqual (\_SB.PCI0.LPCB.H_EC.DOCK, Zero))
+                        {
+                            Notify (\_SB.PCI0.RP08, Zero)
+                        }
+                    }
+                }
+                Else
+                {
+                    Store (One, \_SB.PCI0.RP08.HPSX)
+                }
+            }
+        }
+
+        Method (_L02, 0, NotSerialized)
+        {
+            Store (Zero, GPEC)
+            If (CondRefOf (\_SB.PCI0.IEIT.EITV))
+            {
+                \_SB.PCI0.IEIT.EITV ()
+            }
+
+            Notify (\_TZ.TZ00, 0x80)
+            Notify (\_TZ.TZ01, 0x80)
+            If (CondRefOf (TNOT))
+            {
+                TNOT ()
+            }
+        }
+
+        Method (_L06, 0, NotSerialized)
+        {
+            If (LAnd (\_SB.PCI0.GFX0.GSSE, LNot (GSMI)))
+            {
+                \_SB.PCI0.GFX0.GSCI ()
+            }
+        }
+
+        Method (_L07, 0, NotSerialized)
+        {
+            Store (0x20, \_SB.PCI0.SBUS.HSTS)
+        }
+    }
+
+    Scope (_TZ)
+    {
+        Name (ETMD, One)
+        Name (THLD, 0x78)
+        PowerResource (FN00, 0x00, 0x0000)
+        {
+            Method (_STA, 0, Serialized)
+            {
+                Return (VFN0)
+            }
+
+            Method (_ON, 0, Serialized)
+            {
+                Store (One, VFN0)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (AC0F, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+
+            Method (_OFF, 0, Serialized)
+            {
+                Store (Zero, VFN0)
+                If (LAnd (ECON, ETMD))
+                {
+                    If (LEqual (VFN1, Zero))
+                    {
+                        Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    }
+                    Else
+                    {
+                        Store (AC1F, \_SB.PCI0.LPCB.H_EC.PENV)
+                    }
+
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+        }
+
+        Device (FAN0)
+        {
+            Name (_HID, EisaId ("PNP0C0B"))
+            Name (_UID, Zero)
+            Name (_PR0, Package (0x01)
+            {
+                FN00
+            })
+        }
+
+        PowerResource (FN01, 0x00, 0x0000)
+        {
+            Method (_STA, 0, Serialized)
+            {
+                Return (VFN1)
+            }
+
+            Method (_ON, 0, Serialized)
+            {
+                Store (One, VFN1)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (AC1F, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+
+            Method (_OFF, 0, Serialized)
+            {
+                Store (Zero, VFN1)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+        }
+
+        Device (FAN1)
+        {
+            Name (_HID, EisaId ("PNP0C0B"))
+            Name (_UID, One)
+            Name (_PR0, Package (0x01)
+            {
+                FN01
+            })
+        }
+
+        PowerResource (FN02, 0x00, 0x0000)
+        {
+            Method (_STA, 0, Serialized)
+            {
+                Return (VFN2)
+            }
+
+            Method (_ON, 0, Serialized)
+            {
+                Store (One, VFN2)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+
+            Method (_OFF, 0, Serialized)
+            {
+                Store (Zero, VFN2)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+        }
+
+        Device (FAN2)
+        {
+            Name (_HID, EisaId ("PNP0C0B"))
+            Name (_UID, 0x02)
+            Name (_PR0, Package (0x01)
+            {
+                FN02
+            })
+        }
+
+        PowerResource (FN03, 0x00, 0x0000)
+        {
+            Method (_STA, 0, Serialized)
+            {
+                Return (VFN3)
+            }
+
+            Method (_ON, 0, Serialized)
+            {
+                Store (One, VFN3)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+
+            Method (_OFF, 0, Serialized)
+            {
+                Store (Zero, VFN3)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+        }
+
+        Device (FAN3)
+        {
+            Name (_HID, EisaId ("PNP0C0B"))
+            Name (_UID, 0x03)
+            Name (_PR0, Package (0x01)
+            {
+                FN03
+            })
+        }
+
+        PowerResource (FN04, 0x00, 0x0000)
+        {
+            Method (_STA, 0, Serialized)
+            {
+                Return (VFN4)
+            }
+
+            Method (_ON, 0, Serialized)
+            {
+                Store (One, VFN4)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+
+            Method (_OFF, 0, Serialized)
+            {
+                Store (Zero, VFN4)
+                If (LAnd (ECON, ETMD))
+                {
+                    Store (Zero, \_SB.PCI0.LPCB.H_EC.PENV)
+                    \_SB.PCI0.LPCB.H_EC.ECMD (0x1A)
+                }
+            }
+        }
+
+        Device (FAN4)
+        {
+            Name (_HID, EisaId ("PNP0C0B"))
+            Name (_UID, 0x04)
+            Name (_PR0, Package (0x01)
+            {
+                FN04
+            })
+        }
+
+        ThermalZone (TZ00)
+        {
+            Name (PTMP, 0x0BB8)
+            Method (_SCP, 1, Serialized)
+            {
+                Store (Arg0, CTYP)
+            }
+
+            Method (_CRT, 0, Serialized)
+            {
+                If (CondRefOf (\_PR.ACRT))
+                {
+                    If (LNotEqual (\_PR.ACRT, Zero))
+                    {
+                        Return (Add (0x0AAC, Multiply (\_PR.ACRT, 0x0A)))
+                    }
+                }
+
+                Return (Add (0x0AAC, Multiply (CRTT, 0x0A)))
+            }
+
+            Method (_AC0, 0, Serialized)
+            {
+                If (CondRefOf (\_PR.AAC0))
+                {
+                    If (LNotEqual (\_PR.AAC0, Zero))
+                    {
+                        Return (Add (0x0AAC, Multiply (\_PR.AAC0, 0x0A)))
+                    }
+                }
+
+                Return (Add (0x0AAC, Multiply (ACTT, 0x0A)))
+            }
+
+            Method (_AC1, 0, Serialized)
+            {
+                Return (Add (0x0AAC, Multiply (ACT1, 0x0A)))
+            }
+
+            Method (_AC2, 0, Serialized)
+            {
+                Return (0x0AAC)
+            }
+
+            Method (_AC3, 0, Serialized)
+            {
+                Return (0x0AAC)
+            }
+
+            Method (_AC4, 0, Serialized)
+            {
+                Return (0x0AAC)
+            }
+
+            Name (_AL0, Package (0x01)
+            {
+                FAN0
+            })
+            Name (_AL1, Package (0x01)
+            {
+                FAN1
+            })
+            Name (_AL2, Package (0x01)
+            {
+                FAN2
+            })
+            Name (_AL3, Package (0x01)
+            {
+                FAN3
+            })
+            Name (_AL4, Package (0x01)
+            {
+                FAN4
+            })
+            Method (_TMP, 0, Serialized)
+            {
+                If (LNot (ETMD))
+                {
+                    Return (0x0BB8)
+                }
+
+                If (LEqual (DTSE, 0x03))
+                {
+                    Return (Add (0x0B10, Multiply (CRTT, 0x0A)))
+                }
+
+                If (LEqual (DTSE, One))
+                {
+                    If (LEqual (PKGA, One))
+                    {
+                        Store (PDTS, Local0)
+                        Return (Add (0x0AAC, Multiply (Local0, 0x0A)))
+                    }
+
+                    Store (DTS1, Local0)
+                    If (LGreater (DTS2, Local0))
+                    {
+                        Store (DTS2, Local0)
+                    }
+
+                    If (LGreater (DTS3, Local0))
+                    {
+                        Store (DTS3, Local0)
+                    }
+
+                    If (LGreater (DTS4, Local0))
+                    {
+                        Store (DTS4, Local0)
+                    }
+
+                    Return (Add (0x0AAC, Multiply (Local0, 0x0A)))
+                }
+
+                If (ECON)
+                {
+                    Store (\_SB.PCI0.LPCB.H_EC.PLMX, Local0)
+                    Add (0x0AAC, Multiply (Local0, 0x0A), Local0)
+                    Store (Local0, PTMP)
+                    Return (Local0)
+                }
+
+                Return (0x0BC2)
+            }
+        }
+
+        ThermalZone (TZ01)
+        {
+            Name (PTMP, 0x0BB8)
+            Method (_SCP, 1, Serialized)
+            {
+                Store (Arg0, CTYP)
+            }
+
+            Method (_CRT, 0, Serialized)
+            {
+                If (CondRefOf (\_PR.ACRT))
+                {
+                    If (LNotEqual (\_PR.ACRT, Zero))
+                    {
+                        Return (Add (0x0AAC, Multiply (\_PR.ACRT, 0x0A)))
+                    }
+                }
+
+                Return (Add (0x0AAC, Multiply (CRTT, 0x0A)))
+            }
+
+            Method (_TMP, 0, Serialized)
+            {
+                If (LNot (ETMD))
+                {
+                    Return (0x0BCC)
+                }
+
+                If (LEqual (DTSE, 0x03))
+                {
+                    Return (Add (0x0B10, Multiply (CRTT, 0x0A)))
+                }
+
+                If (LEqual (DTSE, One))
+                {
+                    If (LEqual (PKGA, One))
+                    {
+                        Store (PDTS, Local0)
+                        Return (Add (0x0AAC, Multiply (Local0, 0x0A)))
+                    }
+
+                    Store (DTS1, Local0)
+                    If (LGreater (DTS2, Local0))
+                    {
+                        Store (DTS2, Local0)
+                    }
+
+                    If (LGreater (DTS3, Local0))
+                    {
+                        Store (DTS3, Local0)
+                    }
+
+                    If (LGreater (DTS4, Local0))
+                    {
+                        Store (DTS4, Local0)
+                    }
+
+                    Return (Add (0x0AAC, Multiply (Local0, 0x0A)))
+                }
+
+                If (ECON)
+                {
+                    Store (\_SB.PCI0.LPCB.H_EC.PECH, Local0)
+                    Multiply (Local0, 0x0A, Local0)
+                    Store (\_SB.PCI0.LPCB.H_EC.PECL, Local1)
+                    ShiftRight (Local1, 0x02, Local1)
+                    Store (Divide (Multiply (Local1, 0x0A), 0x40, ), Local1)
+                    Add (Local0, Local1, Local0)
+                    Add (0x0AAC, Local0, Local0)
+                    Store (Local0, PTMP)
+                    Return (Local0)
+                }
+
+                Return (0x0BD6)
+            }
+
+            Method (_PSL, 0, Serialized)
+            {
+                If (LEqual (TCNT, 0x08))
+                {
+                    Return (Package (0x08)
+                    {
+                        \_PR.CPU0, 
+                        \_PR.CPU1, 
+                        \_PR.CPU2, 
+                        \_PR.CPU3, 
+                        \_PR.CPU4, 
+                        \_PR.CPU5, 
+                        \_PR.CPU6, 
+                        \_PR.CPU7
+                    })
+                }
+
+                If (LEqual (TCNT, 0x04))
+                {
+                    Return (Package (0x04)
+                    {
+                        \_PR.CPU0, 
+                        \_PR.CPU1, 
+                        \_PR.CPU2, 
+                        \_PR.CPU3
+                    })
+                }
+
+                If (LEqual (TCNT, 0x02))
+                {
+                    Return (Package (0x02)
+                    {
+                        \_PR.CPU0, 
+                        \_PR.CPU1
+                    })
+                }
+
+                Return (Package (0x01)
+                {
+                    \_PR.CPU0
+                })
+            }
+
+            Method (_PSV, 0, Serialized)
+            {
+                If (CondRefOf (\_PR.APSV))
+                {
+                    If (LNotEqual (\_PR.APSV, Zero))
+                    {
+                        Return (Add (0x0AAC, Multiply (\_PR.APSV, 0x0A)))
+                    }
+                }
+
+                Return (Add (0x0AAC, Multiply (PSVT, 0x0A)))
+            }
+
+            Method (_TC1, 0, Serialized)
+            {
+                Return (TC1V)
+            }
+
+            Method (_TC2, 0, Serialized)
+            {
+                Return (TC2V)
+            }
+
+            Method (_TSP, 0, Serialized)
+            {
+                Return (TSPV)
+            }
+        }
+    }
+
+    Scope (_SB.PCI0.LPCB)
+    {
+        Method (SIOH, 0, NotSerialized)
+        {
+            If (And (PMFG, 0x08))
+            {
+                Notify (PS2K, 0x02)
+            }
+
+            If (And (PMFG, 0x10))
+            {
+                Notify (PS2M, 0x02)
+            }
+        }
+    }
+
+    Device (_SB.PCI0.LPCB.TPM)
+    {
+        Method (_HID, 0, NotSerialized)
+        {
+            If (TCMF) {}
+            Else
+            {
+                Return (0x0201D824)
+            }
+        }
+
+        Name (_CID, EisaId ("PNP0C31"))
+        Name (_STR, Unicode ("TPM 1.2 Device"))
+        Name (_UID, One)
+        Name (_CRS, ResourceTemplate ()
+        {
+            Memory32Fixed (ReadOnly,
+                0xFED40000,         // Address Base
+                0x00005000,         // Address Length
+                )
+        })
+        OperationRegion (TMMB, SystemMemory, 0xFED40000, 0x5000)
+        Field (TMMB, ByteAcc, Lock, Preserve)
+        {
+            ACCS,   8, 
+                    Offset (0x18), 
+            TSTA,   8, 
+            TBCA,   8, 
+                    Offset (0xF00), 
+            TVID,   16, 
+            TDID,   16
+        }
+
+        Method (_STA, 0, NotSerialized)
+        {
+            If (LEqual (VIDT, 0x8086))
+            {
+                Return (Zero)
+            }
+            Else
+            {
+                If (TPMF)
+                {
+                    Return (0x0F)
+                }
+
+                Return (Zero)
+            }
+        }
+    }
+
+    Scope (_SB.PCI0.LPCB.TPM)
+    {
+        OperationRegion (TSMI, SystemIO, SMIT, 0x02)
+        Field (TSMI, ByteAcc, NoLock, Preserve)
+        {
+            INQ,    8, 
+            DAT,    8
+        }
+
+        Method (_DSM, 4, NotSerialized)
+        {
+            If (LEqual (Arg0, Buffer (0x10)
+                    {
+                        /* 0000 */    0xA6, 0xFA, 0xDD, 0x3D, 0x1B, 0x36, 0xB4, 0x4E, 
+                        /* 0008 */    0xA4, 0x24, 0x8D, 0x10, 0x08, 0x9D, 0x16, 0x53
+                    }))
+            {
+                Name (_T_0, Zero)
+                Store (ToInteger (Arg2), _T_0)
+                If (LEqual (_T_0, Zero))
+                {
+                    Return (Buffer (One)
+                    {
+                        0x7F
+                    })
+                }
+                Else
+                {
+                    If (LEqual (_T_0, One))
+                    {
+                        Return ("1.0")
+                    }
+                    Else
+                    {
+                        If (LEqual (_T_0, 0x02))
+                        {
+                            ToInteger (DerefOf (Index (Arg3, Zero)), TMF2)
+                            Store (0x12, TMF1)
+                            Store (TMF1, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            Store (TMF2, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            Return (Zero)
+                        }
+                        Else
+                        {
+                            If (LEqual (_T_0, 0x03))
+                            {
+                                Name (PPI1, Package (0x02)
+                                {
+                                    Zero, 
+                                    Zero
+                                })
+                                Store (0x11, DAT)
+                                Store (OFST, INQ)
+                                If (LEqual (DAT, 0xFF))
+                                {
+                                    Return (One)
+                                }
+
+                                Store (DAT, Index (PPI1, One))
+                                Return (PPI1)
+                            }
+                            Else
+                            {
+                                If (LEqual (_T_0, 0x04))
+                                {
+                                    Return (TRST)
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, 0x05))
+                                    {
+                                        Name (PPI2, Package (0x03)
+                                        {
+                                            Zero, 
+                                            Zero, 
+                                            Zero
+                                        })
+                                        Store (0x21, DAT)
+                                        Store (OFST, INQ)
+                                        Store (DAT, Index (PPI2, One))
+                                        If (LEqual (DAT, 0xFF))
+                                        {
+                                            Return (0x02)
+                                        }
+
+                                        Store (DAT, Index (PPI2, One))
+                                        Store (0x31, DAT)
+                                        Store (OFST, INQ)
+                                        If (LEqual (DAT, 0xFF))
+                                        {
+                                            Return (0x02)
+                                        }
+
+                                        If (LEqual (DAT, 0xFFF0))
+                                        {
+                                            Store (0xFFFFFFF0, Index (PPI2, 0x02))
+                                        }
+                                        Else
+                                        {
+                                            If (LEqual (DAT, 0xFFF1))
+                                            {
+                                                Store (0xFFFFFFF1, Index (PPI2, 0x02))
+                                            }
+                                            Else
+                                            {
+                                                Store (DAT, Index (PPI2, 0x02))
+                                            }
+                                        }
+
+                                        Return (PPI2)
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x06))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                        }
+                                    }
+                                }
+                            }
+                        }
+                    }
+                }
+            }
+            Else
+            {
+                If (LEqual (Arg0, Buffer (0x10)
+                        {
+                            /* 0000 */    0xED, 0x54, 0x60, 0x37, 0x13, 0xCC, 0x75, 0x46, 
+                            /* 0008 */    0x90, 0x1C, 0x47, 0x56, 0xD7, 0xF2, 0xD4, 0x5D
+                        }))
+                {
+                    Name (_T_1, Zero)
+                    Store (ToInteger (Arg2), _T_1)
+                    If (LEqual (_T_1, Zero))
+                    {
+                        Return (Buffer (One)
+                        {
+                            0x03
+                        })
+                    }
+                    Else
+                    {
+                        If (LEqual (_T_1, One))
+                        {
+                            Store (0x22, TMF1)
+                            Store (TMF1, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            ToInteger (DerefOf (Index (Arg3, Zero)), TMF1)
+                            Store (TMF1, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            Return (Zero)
+                        }
+                        Else
+                        {
+                        }
+                    }
+                }
+            }
+
+            Return (Buffer (One)
+            {
+                0x00
+            })
+        }
+    }
+
+    Scope (_SB.PCI0)
+    {
+        OperationRegion (ITPD, PCI_Config, 0xE8, 0x04)
+        Field (ITPD, DWordAcc, NoLock, Preserve)
+        {
+                ,   15, 
+            TPDI,   1
+        }
+
+        OperationRegion (TVID, SystemMemory, 0xFED40F00, 0x02)
+        Field (TVID, WordAcc, NoLock, Preserve)
+        {
+            VIDT,   16
+        }
+    }
+
+    Device (_SB.PCI0.ITPM)
+    {
+        Name (_HID, "INTC0102")
+        Name (_CID, EisaId ("PNP0C31"))
+        Name (_STR, Unicode ("TPM 1.2 Device"))
+        Name (_CRS, ResourceTemplate ()
+        {
+            Memory32Fixed (ReadOnly,
+                0xFED40000,         // Address Base
+                0x00005000,         // Address Length
+                )
+        })
+        OperationRegion (TSMI, SystemIO, SMIT, 0x02)
+        Field (TSMI, ByteAcc, NoLock, Preserve)
+        {
+            INQ,    8, 
+            DAT,    8
+        }
+
+        OperationRegion (TPMR, SystemMemory, 0xFED40000, 0x5000)
+        Field (TPMR, AnyAcc, NoLock, Preserve)
+        {
+            ACC0,   8
+        }
+
+        Method (_STA, 0, NotSerialized)
+        {
+            If (LNotEqual (ACC0, 0xFF))
+            {
+                If (LEqual (VIDT, 0x8086))
+                {
+                    If (TPMF)
+                    {
+                        Return (0x0F)
+                    }
+
+                    Return (Zero)
+                }
+            }
+
+            Return (Zero)
+        }
+
+        Method (_DSM, 4, NotSerialized)
+        {
+            If (LEqual (Arg0, Buffer (0x10)
+                    {
+                        /* 0000 */    0xA6, 0xFA, 0xDD, 0x3D, 0x1B, 0x36, 0xB4, 0x4E, 
+                        /* 0008 */    0xA4, 0x24, 0x8D, 0x10, 0x08, 0x9D, 0x16, 0x53
+                    }))
+            {
+                Name (_T_0, Zero)
+                Store (ToInteger (Arg2), _T_0)
+                If (LEqual (_T_0, Zero))
+                {
+                    Return (Buffer (One)
+                    {
+                        0x7F
+                    })
+                }
+                Else
+                {
+                    If (LEqual (_T_0, One))
+                    {
+                        Return ("1.0")
+                    }
+                    Else
+                    {
+                        If (LEqual (_T_0, 0x02))
+                        {
+                            ToInteger (DerefOf (Index (Arg3, Zero)), TMF2)
+                            Store (0x12, TMF1)
+                            Store (TMF1, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            Store (TMF2, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            Return (Zero)
+                        }
+                        Else
+                        {
+                            If (LEqual (_T_0, 0x03))
+                            {
+                                Name (PPI1, Package (0x02)
+                                {
+                                    Zero, 
+                                    Zero
+                                })
+                                Store (0x11, DAT)
+                                Store (OFST, INQ)
+                                If (LEqual (DAT, 0xFF))
+                                {
+                                    Return (One)
+                                }
+
+                                Store (DAT, Index (PPI1, One))
+                                Return (PPI1)
+                            }
+                            Else
+                            {
+                                If (LEqual (_T_0, 0x04))
+                                {
+                                    Return (One)
+                                }
+                                Else
+                                {
+                                    If (LEqual (_T_0, 0x05))
+                                    {
+                                        Name (PPI2, Package (0x03)
+                                        {
+                                            Zero, 
+                                            Zero, 
+                                            Zero
+                                        })
+                                        Store (0x21, DAT)
+                                        Store (OFST, INQ)
+                                        Store (DAT, Index (PPI2, One))
+                                        If (LEqual (DAT, 0xFF))
+                                        {
+                                            Return (0x02)
+                                        }
+
+                                        Store (DAT, Index (PPI2, One))
+                                        Store (0x31, DAT)
+                                        Store (OFST, INQ)
+                                        If (LEqual (DAT, 0xFF))
+                                        {
+                                            Return (0x02)
+                                        }
+
+                                        If (LEqual (DAT, 0xFFF0))
+                                        {
+                                            Store (0xFFFFFFF0, Index (PPI2, 0x02))
+                                        }
+                                        Else
+                                        {
+                                            If (LEqual (DAT, 0xFFF1))
+                                            {
+                                                Store (0xFFFFFFF1, Index (PPI2, 0x02))
+                                            }
+                                            Else
+                                            {
+                                                Store (DAT, Index (PPI2, 0x02))
+                                            }
+                                        }
+
+                                        Return (PPI2)
+                                    }
+                                    Else
+                                    {
+                                        If (LEqual (_T_0, 0x06))
+                                        {
+                                            Return (Zero)
+                                        }
+                                        Else
+                                        {
+                                        }
+                                    }
+                                }
+                            }
+                        }
+                    }
+                }
+            }
+            Else
+            {
+                If (LEqual (Arg0, Buffer (0x10)
+                        {
+                            /* 0000 */    0xED, 0x54, 0x60, 0x37, 0x13, 0xCC, 0x75, 0x46, 
+                            /* 0008 */    0x90, 0x1C, 0x47, 0x56, 0xD7, 0xF2, 0xD4, 0x5D
+                        }))
+                {
+                    Name (_T_1, Zero)
+                    Store (ToInteger (Arg2), _T_1)
+                    If (LEqual (_T_1, Zero))
+                    {
+                        Return (Buffer (One)
+                        {
+                            0x03
+                        })
+                    }
+                    Else
+                    {
+                        If (LEqual (_T_1, One))
+                        {
+                            Store (0x22, TMF1)
+                            Store (TMF1, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            ToInteger (DerefOf (Index (Arg3, Zero)), TMF1)
+                            Store (TMF1, DAT)
+                            Store (OFST, INQ)
+                            If (LEqual (DAT, 0xFF))
+                            {
+                                Return (0x02)
+                            }
+
+                            Return (Zero)
+                        }
+                        Else
+                        {
+                        }
+                    }
+                }
+            }
+
+            Return (Buffer (One)
+            {
+                0x00
+            })
+        }
+    }
+
+    Scope (_SB.PCI0.LPCB)
+    {
+        Device (H_EC)
+        {
+            Name (_HID, EisaId ("PNP0C09"))
+            Name (_UID, One)
+            Method (_STA, 0, NotSerialized)
+            {
+                Store (0x03, ^^^GFX0.CLID)
+                Return (Zero)
+            }
+
+            Name (B1CC, Zero)
+            Name (B1ST, Zero)
+            Name (B2CC, Zero)
+            Name (B2ST, Zero)
+            Name (CFAN, Zero)
+            Name (CMDR, Zero)
+            Name (DOCK, Zero)
+            Name (EJET, Zero)
+            Name (MCAP, Zero)
+            Name (PLMX, Zero)
+            Name (PECH, Zero)
+            Name (PECL, Zero)
+            Name (PENV, Zero)
+            Name (PINV, Zero)
+            Name (PPSH, Zero)
+            Name (PPSL, Zero)
+            Name (PSTP, Zero)
+            Name (RPWR, Zero)
+            Name (LSTE, Zero)
+            Name (SLPC, Zero)
+            Name (VPWR, Zero)
+            Method (ECMD, 1, Serialized)
+            {
+                If (ECON)
+                {
+                    While (CMDR)
+                    {
+                        Stall (0x14)
+                    }
+
+                    Store (Arg0, CMDR)
+                }
+            }
+
+            Device (BAT0)
+            {
+                Name (_HID, EisaId ("PNP0C0A"))
+                Name (_UID, Zero)
+                Method (_STA, 0, NotSerialized)
+                {
+                    Return (Zero)
+                }
+            }
+
+            Scope (\)
+            {
+                Field (GNVS, AnyAcc, Lock, Preserve)
+                {
+                            Offset (0x1E), 
+                    BNUM,   8, 
+                            Offset (0x20), 
+                    B1SC,   8, 
+                            Offset (0x23), 
+                    B1SS,   8
+                }
+            }
+
+            Device (BAT1)
+            {
+                Name (_HID, EisaId ("PNP0C0A"))
+                Name (_UID, One)
+                Method (_STA, 0, NotSerialized)
+                {
+                    Return (Zero)
+                }
+            }
+
+            Scope (\)
+            {
+                Field (GNVS, AnyAcc, Lock, Preserve)
+                {
+                            Offset (0x21), 
+                    B2SC,   8, 
+                            Offset (0x24), 
+                    B2SS,   8
+                }
+            }
+
+            Device (BAT2)
+            {
+                Name (_HID, EisaId ("PNP0C0A"))
+                Name (_UID, 0x02)
+                Method (_STA, 0, NotSerialized)
+                {
+                    Return (Zero)
+                }
+            }
+        }
+    }
+
+    Device (_SB.PCI0.DOCK)
+    {
+        Name (_HID, "ABCDEFGH")
+        Name (_CID, EisaId ("PNP0C15"))
+        Name (_UID, 0x02)
+        Method (_STA, 0, NotSerialized)
+        {
+            Return (Zero)
+        }
+    }
+
+    Scope (_SB)
+    {
+        Device (LID0)
+        {
+            Name (_HID, EisaId ("PNP0C0D"))
+            Method (_STA, 0, NotSerialized)
+            {
+                Return (Zero)
+            }
+        }
+    }
+
+    Name (_S0, Package (0x04)
+    {
+        Zero, 
+        Zero, 
+        Zero, 
+        Zero
+    })
+    If (SS1)
+    {
+        Name (_S1, Package (0x04)
+        {
+            One, 
+            Zero, 
+            Zero, 
+            Zero
+        })
+    }
+
+    If (SS3)
+    {
+        Name (_S3, Package (0x04)
+        {
+            0x05, 
+            Zero, 
+            Zero, 
+            Zero
+        })
+    }
+
+    If (SS4)
+    {
+        Name (_S4, Package (0x04)
+        {
+            0x06, 
+            Zero, 
+            Zero, 
+            Zero
+        })
+    }
+
+    Name (_S5, Package (0x04)
+    {
+        0x07, 
+        Zero, 
+        Zero, 
+        Zero
+    })
+    Method (PTS, 1, NotSerialized)
+    {
+        If (Arg0)
+        {
+            \_SB.PCI0.LPCB.SPTS (Arg0)
+            \_SB.PCI0.NPTS (Arg0)
+            \_SB.PCI0.LPCB.SIOS (Arg0)
+        }
+    }
+
+    Method (WAK, 1, NotSerialized)
+    {
+        \_SB.PCI0.LPCB.SWAK (Arg0)
+        \_SB.PCI0.NWAK (Arg0)
+        \_SB.PCI0.LPCB.SIOW (Arg0)
+    }
+}
+
diff --git a/d3h-inteldump b/d3h-inteldump
new file mode 100644
index 0000000..42fe715
--- /dev/null
+++ b/d3h-inteldump
@@ -0,0 +1,838 @@
+CPU: ID 0x306a9, Processor Type 0x0, Family 0x6, Model 0x3a, Stepping 0x9
+Northbridge: 8086:0150 (unknown)
+Southbridge: 8086:1e49 (B75)
+
+============= GPIOS =============
+
+GPIOBASE = 0x0500 (IO)
+
+gpiobase+0x0000: 0xb96bb1ff (GPIO_USE_SEL)
+gpiobase+0x0000: 0xb96ba1ff (GPIO_USE_SEL) DEFAULT
+gpiobase+0x0000: 0x00001000 (GPIO_USE_SEL) DIFF
+gpiobase+0x0004: 0xaeff6eff (GP_IO_SEL)
+gpiobase+0x0004: 0xeeff6eff (GP_IO_SEL) DEFAULT
+gpiobase+0x0004: 0x40000000 (GP_IO_SEL) DIFF
+gpiobase+0x0008: 0x00000000 (RESERVED)
+gpiobase+0x000c: 0xe8f97fff (GP_LVL)
+gpiobase+0x000c: 0x02fe0100 (GP_LVL) DEFAULT
+gpiobase+0x000c: 0xea077eff (GP_LVL) DIFF
+gpiobase+0x0010: 0x00000000 (RESERVED)
+gpiobase+0x0014: 0x00000000 (RESERVED)
+gpiobase+0x0018: 0x00040000 (GPO_BLINK)
+gpiobase+0x001c: 0x00000000 (GP_SER_BLINK)
+gpiobase+0x0020: 0x00080000 (GP_SB_CMDSTS)
+gpiobase+0x0024: 0x00000000 (GP_SB_DATA)
+gpiobase+0x0028: 0x0000     (GPI_NMI_EN)
+gpiobase+0x002a: 0x0000     (GPI_NMI_STS)
+gpiobase+0x002c: 0x00002000 (GPI_INV)
+gpiobase+0x002c: 0x00000000 (GPI_INV) DEFAULT
+gpiobase+0x002c: 0x00002000 (GPI_INV) DIFF
+gpiobase+0x0030: 0x020300ff (GPIO_USE_SEL2)
+gpiobase+0x0034: 0x1f57fff4 (GP_IO_SEL2)
+gpiobase+0x0038: 0xfaff7fc7 (GP_LVL2)
+gpiobase+0x0038: 0xa4aa0007 (GP_LVL2) DEFAULT
+gpiobase+0x0038: 0x1e557fc0 (GP_LVL2) DIFF
+gpiobase+0x003c: 0x00000000 (RESERVED)
+gpiobase+0x0040: 0x00000130 (GPIO_USE_SEL3)
+gpiobase+0x0044: 0x00000ff0 (GPIO_SEL3)
+gpiobase+0x0048: 0x00000ddf (GPIO_LVL3)
+gpiobase+0x0048: 0x000000c0 (GPIO_LVL3) DEFAULT
+gpiobase+0x0048: 0x00000d1f (GPIO_LVL3) DIFF
+gpiobase+0x004c: 0x00000000 (RESERVED)
+gpiobase+0x0050: 0x00000000 (RESERVED)
+gpiobase+0x0054: 0x00000000 (RESERVED)
+gpiobase+0x0058: 0x00000000 (RESERVED)
+gpiobase+0x005c: 0x00000000 (RESERVED)
+gpiobase+0x0060: 0x00000000 (GP_RST_SEL1)
+gpiobase+0x0060: 0x01000000 (GP_RST_SEL1) DEFAULT
+gpiobase+0x0060: 0x01000000 (GP_RST_SEL1) DIFF
+gpiobase+0x0064: 0x00000000 (GP_RST_SEL2)
+gpiobase+0x0068: 0x00000000 (GP_RST_SEL3)
+gpiobase+0x006c: 0x00000000 (RESERVED)
+gpiobase+0x0070: 0x00000000 (RESERVED)
+gpiobase+0x0074: 0x00000000 (RESERVED)
+gpiobase+0x0078: 0x00000000 (RESERVED)
+gpiobase+0x007c: 0x00000000 (RESERVED)
+
+
+
+============= RCBA ==============
+
+RCBA = 0xfed1c000 (MEM)
+
+0x0050: 0x812a0654
+0x0054: 0x00000789
+0x0400: 0x00000503
+0x0404: 0x76543210
+0x0800: 0x20100808
+0x0804: 0x01000404
+0x0808: 0x04210444
+0x080c: 0x01110000
+0x0810: 0x00660000
+0x0818: 0x00170017
+0x081c: 0x00000f0f
+0x0820: 0xf7401111
+0x0824: 0x11111111
+0x0834: 0x0003303c
+0x0838: 0x04124054
+0x0900: 0x00004000
+0x0984: 0x00000010
+0x0988: 0x00400010
+0x098c: 0x00440000
+0x0990: 0x00002000
+0x0994: 0x00006000
+0x1000: 0x20100808
+0x1004: 0x01000404
+0x1008: 0x04210444
+0x100c: 0x01110000
+0x1010: 0x00007731
+0x1020: 0x20100808
+0x1024: 0x01000404
+0x1028: 0x04210f11
+0x102c: 0x04210000
+0x1030: 0x00040010
+0x1034: 0x0003303c
+0x1038: 0x045a419a
+0x1100: 0x00006000
+0x1104: 0x00000700
+0x1114: 0x00000005
+0x1194: 0x00002000
+0x1d48: 0x00030000
+0x1e80: 0x000c0201
+0x1e84: 0x000200f0
+0x2014: 0x80000019
+0x2020: 0x81000022
+0x2030: 0x82000044
+0x2040: 0x87000080
+0x2084: 0x00100000
+0x2088: 0x00109000
+0x2094: 0x00002000
+0x20ac: 0x40000000
+0x21a4: 0x00023c42
+0x21a8: 0x40420000
+0x21b0: 0x00000002
+0x2230: 0x00010000
+0x2234: 0x0000000f
+0x2238: 0x00000941
+0x2240: 0x00b308c4
+0x2244: 0x00bf0904
+0x2248: 0x00b903ed
+0x224c: 0x00930000
+0x2250: 0x009f0000
+0x2254: 0x00fd0429
+0x2258: 0x00fc091c
+0x2260: 0x009f06d6
+0x2268: 0x000c0028
+0x2270: 0x000c0000
+0x2274: 0x00100040
+0x2278: 0x00280f9c
+0x227c: 0x00340fc4
+0x2280: 0x00f70000
+0x2284: 0x00030000
+0x2288: 0x0020052a
+0x228c: 0x00000001
+0x2294: 0x00a30283
+0x2298: 0x00af02ab
+0x229c: 0x00ad0000
+0x22a0: 0x00b80000
+0x22a4: 0x00bd0eeb
+0x2304: 0xc03b8400
+0x2308: 0x28000016
+0x230c: 0x4abcb5bc
+0x2310: 0xa889605b
+0x2314: 0x0a2c0020
+0x2318: 0x04b8ffe3
+0x231c: 0x01250fe3
+0x2320: 0x00008002
+0x2324: 0x00854c74
+0x2328: 0x00421731
+0x2330: 0xea004000
+0x2334: 0x00000084
+0x2338: 0x00000600
+0x2340: 0x003a001b
+0x2344: 0xff0c000c
+0x2934: 0x80000000
+0x3020: 0xffffffff
+0x3024: 0xffffffff
+0x3028: 0xffffffff
+0x302c: 0xffffffff
+0x3030: 0xffffffff
+0x3034: 0xffffffff
+0x3038: 0xffffffff
+0x303c: 0xffffffff
+0x3040: 0xffffffff
+0x3044: 0xffffffff
+0x3048: 0xffffffff
+0x304c: 0xffffffff
+0x3050: 0xffffffff
+0x3054: 0xffffffff
+0x3058: 0xffffffff
+0x305c: 0xffffffff
+0x3060: 0xffffffff
+0x3064: 0xffffffff
+0x3068: 0xffffffff
+0x306c: 0xffffffff
+0x3070: 0xffffffff
+0x3074: 0xffffffff
+0x3078: 0xffffffff
+0x307c: 0xffffffff
+0x3080: 0xffffffff
+0x3084: 0xffffffff
+0x3088: 0xffffffff
+0x308c: 0xffffffff
+0x3090: 0xffffffff
+0x3094: 0xffffffff
+0x3098: 0xffffffff
+0x309c: 0xffffffff
+0x30a0: 0xffffffff
+0x30a4: 0xffffffff
+0x30a8: 0xffffffff
+0x30ac: 0xffffffff
+0x30b0: 0xffffffff
+0x30b4: 0xffffffff
+0x30b8: 0xffffffff
+0x30bc: 0xffffffff
+0x30c0: 0xffffffff
+0x30c4: 0xffffffff
+0x30c8: 0xffffffff
+0x30cc: 0xffffffff
+0x30d0: 0xffffffff
+0x30d4: 0xffffffff
+0x30d8: 0xffffffff
+0x30dc: 0xffffffff
+0x30e0: 0xffffffff
+0x30e4: 0xffffffff
+0x30e8: 0xffffffff
+0x30ec: 0xffffffff
+0x30f0: 0xffffffff
+0x30f4: 0xffffffff
+0x30f8: 0xffffffff
+0x30fc: 0xffffffff
+0x3100: 0x03243200
+0x3108: 0x00014321
+0x310c: 0x43214321
+0x3110: 0x00000001
+0x3114: 0x00004321
+0x3118: 0x00000001
+0x311c: 0x00000001
+0x3124: 0x00002321
+0x3128: 0x00000001
+0x3140: 0x00000230
+0x3144: 0x32102037
+0x3148: 0x00003216
+0x314c: 0x00003250
+0x3150: 0x00007654
+0x3154: 0x00003210
+0x315c: 0x00001230
+0x3160: 0x00003210
+0x31fc: 0x03000000
+0x3310: 0x03040100
+0x3314: 0x0000000f
+0x3318: 0x054f0000
+0x331c: 0x80000000
+0x3320: 0x00000003
+0x3324: 0x04000000
+0x3340: 0x000fffff
+0x3344: 0x00000003
+0x3360: 0x0001c000
+0x3368: 0x00061100
+0x3378: 0x7f8fdfff
+0x337c: 0x000003fd
+0x3388: 0x00001000
+0x3390: 0x0001c000
+0x33a0: 0x00000800
+0x33a4: 0x00000001
+0x33b0: 0x00001000
+0x33c0: 0x00093900
+0x33cc: 0x24653002
+0x33d0: 0x067388fe
+0x33d4: 0x00670060
+0x33d8: 0x07ffffff
+0x33f0: 0x30002de4
+0x3400: 0x00000004
+0x3404: 0x00000080
+0x3410: 0x00000c64
+0x3414: 0x00000020
+0x3418: 0x1fee1fe1
+0x341c: 0xbfcf001f
+0x3424: 0x00060010
+0x3428: 0x0000001f
+0x3450: 0xfed04000
+0x3458: 0xffffffff
+0x345c: 0xffffffff
+0x3460: 0xffffffff
+0x3464: 0xffffffff
+0x3468: 0xffffffff
+0x346c: 0xffffffff
+0x3470: 0xffffffff
+0x3474: 0xffffffff
+0x3478: 0xffffffff
+0x347c: 0xffffffff
+0x3480: 0xffffffff
+0x3484: 0xffffffff
+0x3488: 0xffffffff
+0x348c: 0xffffffff
+0x3490: 0xffffffff
+0x3494: 0xffffffff
+0x3498: 0xffffffff
+0x349c: 0xffffffff
+0x34a0: 0xffffffff
+0x34a4: 0xffffffff
+0x34a8: 0xffffffff
+0x34ac: 0xffffffff
+0x34b0: 0xffffffff
+0x34b4: 0xffffffff
+0x34b8: 0xffffffff
+0x34bc: 0xffffffff
+0x34c0: 0xffffffff
+0x34c4: 0xffffffff
+0x34c8: 0xffffffff
+0x34cc: 0xffffffff
+0x34d0: 0xffffffff
+0x34d4: 0xffffffff
+0x34d8: 0xffffffff
+0x34dc: 0xffffffff
+0x34e0: 0xffffffff
+0x34e4: 0xffffffff
+0x34e8: 0xffffffff
+0x34ec: 0xffffffff
+0x34f0: 0xffffffff
+0x34f4: 0xffffffff
+0x34f8: 0xffffffff
+0x34fc: 0xffffffff
+0x3500: 0x2000035f
+0x3504: 0x2000035f
+0x3508: 0x2000035f
+0x350c: 0x2000035f
+0x3510: 0x2000035f
+0x3514: 0x2000035f
+0x3518: 0x2000035f
+0x351c: 0x2000035f
+0x3520: 0x2000035f
+0x3524: 0x2000035f
+0x3528: 0x2000035f
+0x352c: 0x2000035f
+0x3530: 0x2000035f
+0x3534: 0x2000035f
+0x3560: 0x024c8001
+0x3564: 0x000024a3
+0x3568: 0x00040002
+0x356c: 0x01000050
+0x3570: 0x02000662
+0x3574: 0x19000f9f
+0x3578: 0x1800ff4f
+0x357c: 0x0001d530
+0x35a0: 0xc0300c03
+0x35a4: 0x00241803
+0x3600: 0xffffffff
+0x3604: 0xffffffff
+0x3608: 0xffffffff
+0x360c: 0xffffffff
+0x3610: 0xffffffff
+0x3614: 0xffffffff
+0x3618: 0xffffffff
+0x361c: 0xffffffff
+0x3620: 0xffffffff
+0x3624: 0xffffffff
+0x3628: 0xffffffff
+0x362c: 0xffffffff
+0x3630: 0xffffffff
+0x3634: 0xffffffff
+0x3638: 0xffffffff
+0x363c: 0xffffffff
+0x3640: 0xffffffff
+0x3644: 0xffffffff
+0x3648: 0xffffffff
+0x364c: 0xffffffff
+0x3650: 0xffffffff
+0x3654: 0xffffffff
+0x3658: 0xffffffff
+0x365c: 0xffffffff
+0x3660: 0xffffffff
+0x3664: 0xffffffff
+0x3668: 0xffffffff
+0x366c: 0xffffffff
+0x3670: 0xffffffff
+0x3674: 0xffffffff
+0x3678: 0xffffffff
+0x367c: 0xffffffff
+0x3680: 0xffffffff
+0x3684: 0xffffffff
+0x3688: 0xffffffff
+0x368c: 0xffffffff
+0x3690: 0xffffffff
+0x3694: 0xffffffff
+0x3698: 0xffffffff
+0x369c: 0xffffffff
+0x36a0: 0xffffffff
+0x36a4: 0xffffffff
+0x36a8: 0xffffffff
+0x36ac: 0xffffffff
+0x36b0: 0xffffffff
+0x36b4: 0xffffffff
+0x36b8: 0xffffffff
+0x36bc: 0xffffffff
+0x36c0: 0xffffffff
+0x36c4: 0xffffffff
+0x36c8: 0xffffffff
+0x36cc: 0xffffffff
+0x36d0: 0xffffffff
+0x36d4: 0xffffffff
+0x36d8: 0xffffffff
+0x36dc: 0xffffffff
+0x36e0: 0xffffffff
+0x36e4: 0xffffffff
+0x36e8: 0xffffffff
+0x36ec: 0xffffffff
+0x36f0: 0xffffffff
+0x36f4: 0xffffffff
+0x36f8: 0xffffffff
+0x36fc: 0xffffffff
+0x3700: 0xffffffff
+0x3704: 0xffffffff
+0x3708: 0xffffffff
+0x370c: 0xffffffff
+0x3710: 0xffffffff
+0x3714: 0xffffffff
+0x3718: 0xffffffff
+0x371c: 0xffffffff
+0x3720: 0xffffffff
+0x3724: 0xffffffff
+0x3728: 0xffffffff
+0x372c: 0xffffffff
+0x3730: 0xffffffff
+0x3734: 0xffffffff
+0x3738: 0xffffffff
+0x373c: 0xffffffff
+0x3740: 0xffffffff
+0x3744: 0xffffffff
+0x3748: 0xffffffff
+0x374c: 0xffffffff
+0x3750: 0xffffffff
+0x3754: 0xffffffff
+0x3758: 0xffffffff
+0x375c: 0xffffffff
+0x3760: 0xffffffff
+0x3764: 0xffffffff
+0x3768: 0xffffffff
+0x376c: 0xffffffff
+0x3770: 0xffffffff
+0x3774: 0xffffffff
+0x3778: 0xffffffff
+0x377c: 0xffffffff
+0x3780: 0xffffffff
+0x3784: 0xffffffff
+0x3788: 0xffffffff
+0x378c: 0xffffffff
+0x3790: 0xffffffff
+0x3794: 0xffffffff
+0x3798: 0xffffffff
+0x379c: 0xffffffff
+0x37a0: 0xffffffff
+0x37a4: 0xffffffff
+0x37a8: 0xffffffff
+0x37ac: 0xffffffff
+0x37b0: 0xffffffff
+0x37b4: 0xffffffff
+0x37b8: 0xffffffff
+0x37bc: 0xffffffff
+0x37c0: 0xffffffff
+0x37c4: 0xffffffff
+0x37c8: 0xffffffff
+0x37cc: 0xffffffff
+0x37d0: 0xffffffff
+0x37d4: 0xffffffff
+0x37d8: 0xffffffff
+0x37dc: 0xffffffff
+0x37e0: 0xffffffff
+0x37e4: 0xffffffff
+0x37e8: 0xffffffff
+0x37ec: 0xffffffff
+0x37f0: 0xffffffff
+0x37f4: 0xffffffff
+0x37f8: 0xffffffff
+0x37fc: 0xffffffff
+0x3800: 0x07ff0000
+0x3804: 0x0000e008
+0x3808: 0x005036d2
+0x3810: 0x52001f00
+0x3818: 0x00000038
+0x3820: 0x002f0000
+0x3828: 0x00000030
+0x382c: 0x00310000
+0x3834: 0x00000032
+0x3838: 0x00330000
+0x3840: 0x00000034
+0x3844: 0x00350000
+0x384c: 0x00000036
+0x3850: 0x0000ffff
+0x3858: 0x07ff0000
+0x385c: 0x04ff0001
+0x3860: 0x00001fff
+0x3864: 0x00001fff
+0x3890: 0xf9401080
+0x3894: 0x043b0006
+0x3898: 0x05200302
+0x389c: 0x0000019f
+0x38b0: 0x00002004
+0x38b4: 0x07ff0000
+0x38c0: 0x00000007
+0x38c4: 0x00802005
+0x38c8: 0x00002005
+0x3a00: 0x03020100
+0x3a04: 0x07060504
+0x3a08: 0x0b0a0908
+0x3a0c: 0x0f0e0d0c
+0x3a20: 0x06060606
+0x3a24: 0x00000606
+0x3a28: 0x01010000
+0x3a2c: 0x01010404
+0x3a60: 0x00000780
+0x3a6c: 0x00000001
+0x3a80: 0x01040000
+0x3a84: 0x01041001
+0x3a88: 0x00000001
+0x3b00: 0xffffffff
+0x3b04: 0xffffffff
+0x3b08: 0xffffffff
+0x3b0c: 0xffffffff
+0x3b10: 0xffffffff
+0x3b14: 0xffffffff
+0x3b18: 0xffffffff
+0x3b1c: 0xffffffff
+0x3b20: 0xffffffff
+0x3b24: 0xffffffff
+0x3b28: 0xffffffff
+0x3b2c: 0xffffffff
+0x3b30: 0xffffffff
+0x3b34: 0xffffffff
+0x3b38: 0xffffffff
+0x3b3c: 0xffffffff
+0x3b40: 0xffffffff
+0x3b44: 0xffffffff
+0x3b48: 0xffffffff
+0x3b4c: 0xffffffff
+0x3b50: 0xffffffff
+0x3b54: 0xffffffff
+0x3b58: 0xffffffff
+0x3b5c: 0xffffffff
+0x3b60: 0xffffffff
+0x3b64: 0xffffffff
+0x3b68: 0xffffffff
+0x3b6c: 0xffffffff
+0x3b70: 0xffffffff
+0x3b74: 0xffffffff
+0x3b78: 0xffffffff
+0x3b7c: 0xffffffff
+0x3b80: 0xffffffff
+0x3b84: 0xffffffff
+0x3b88: 0xffffffff
+0x3b8c: 0xffffffff
+0x3b90: 0xffffffff
+0x3b94: 0xffffffff
+0x3b98: 0xffffffff
+0x3b9c: 0xffffffff
+0x3ba0: 0xffffffff
+0x3ba4: 0xffffffff
+0x3ba8: 0xffffffff
+0x3bac: 0xffffffff
+0x3bb0: 0xffffffff
+0x3bb4: 0xffffffff
+0x3bb8: 0xffffffff
+0x3bbc: 0xffffffff
+0x3bc0: 0xffffffff
+0x3bc4: 0xffffffff
+0x3bc8: 0xffffffff
+0x3bcc: 0xffffffff
+0x3bd0: 0xffffffff
+0x3bd4: 0xffffffff
+0x3bd8: 0xffffffff
+0x3bdc: 0xffffffff
+0x3be0: 0xffffffff
+0x3be4: 0xffffffff
+0x3be8: 0xffffffff
+0x3bec: 0xffffffff
+0x3bf0: 0xffffffff
+0x3bf4: 0xffffffff
+0x3bf8: 0xffffffff
+0x3bfc: 0xffffffff
+0x3c00: 0xffffffff
+0x3c04: 0xffffffff
+0x3c08: 0xffffffff
+0x3c0c: 0xffffffff
+0x3c10: 0xffffffff
+0x3c14: 0xffffffff
+0x3c18: 0xffffffff
+0x3c1c: 0xffffffff
+0x3c20: 0xffffffff
+0x3c24: 0xffffffff
+0x3c28: 0xffffffff
+0x3c2c: 0xffffffff
+0x3c30: 0xffffffff
+0x3c34: 0xffffffff
+0x3c38: 0xffffffff
+0x3c3c: 0xffffffff
+0x3c40: 0xffffffff
+0x3c44: 0xffffffff
+0x3c48: 0xffffffff
+0x3c4c: 0xffffffff
+0x3c50: 0xffffffff
+0x3c54: 0xffffffff
+0x3c58: 0xffffffff
+0x3c5c: 0xffffffff
+0x3c60: 0xffffffff
+0x3c64: 0xffffffff
+0x3c68: 0xffffffff
+0x3c6c: 0xffffffff
+0x3c70: 0xffffffff
+0x3c74: 0xffffffff
+0x3c78: 0xffffffff
+0x3c7c: 0xffffffff
+0x3c80: 0xffffffff
+0x3c84: 0xffffffff
+0x3c88: 0xffffffff
+0x3c8c: 0xffffffff
+0x3c90: 0xffffffff
+0x3c94: 0xffffffff
+0x3c98: 0xffffffff
+0x3c9c: 0xffffffff
+0x3ca0: 0xffffffff
+0x3ca4: 0xffffffff
+0x3ca8: 0xffffffff
+0x3cac: 0xffffffff
+0x3cb0: 0xffffffff
+0x3cb4: 0xffffffff
+0x3cb8: 0xffffffff
+0x3cbc: 0xffffffff
+0x3cc0: 0xffffffff
+0x3cc4: 0xffffffff
+0x3cc8: 0xffffffff
+0x3ccc: 0xffffffff
+0x3cd0: 0xffffffff
+0x3cd4: 0xffffffff
+0x3cd8: 0xffffffff
+0x3cdc: 0xffffffff
+0x3ce0: 0xffffffff
+0x3ce4: 0xffffffff
+0x3ce8: 0xffffffff
+0x3cec: 0xffffffff
+0x3cf0: 0xffffffff
+0x3cf4: 0xffffffff
+0x3cf8: 0xffffffff
+0x3cfc: 0xffffffff
+0x3d00: 0xffffffff
+0x3d04: 0xffffffff
+0x3d08: 0xffffffff
+0x3d0c: 0xffffffff
+0x3d10: 0xffffffff
+0x3d14: 0xffffffff
+0x3d18: 0xffffffff
+0x3d1c: 0xffffffff
+0x3d20: 0xffffffff
+0x3d24: 0xffffffff
+0x3d28: 0xffffffff
+0x3d2c: 0xffffffff
+0x3d30: 0xffffffff
+0x3d34: 0xffffffff
+0x3d38: 0xffffffff
+0x3d3c: 0xffffffff
+0x3d40: 0xffffffff
+0x3d44: 0xffffffff
+0x3d48: 0xffffffff
+0x3d4c: 0xffffffff
+0x3d50: 0xffffffff
+0x3d54: 0xffffffff
+0x3d58: 0xffffffff
+0x3d5c: 0xffffffff
+0x3d60: 0xffffffff
+0x3d64: 0xffffffff
+0x3d68: 0xffffffff
+0x3d6c: 0xffffffff
+0x3d70: 0xffffffff
+0x3d74: 0xffffffff
+0x3d78: 0xffffffff
+0x3d7c: 0xffffffff
+0x3d80: 0xffffffff
+0x3d84: 0xffffffff
+0x3d88: 0xffffffff
+0x3d8c: 0xffffffff
+0x3d90: 0xffffffff
+0x3d94: 0xffffffff
+0x3d98: 0xffffffff
+0x3d9c: 0xffffffff
+0x3da0: 0xffffffff
+0x3da4: 0xffffffff
+0x3da8: 0xffffffff
+0x3dac: 0xffffffff
+0x3db0: 0xffffffff
+0x3db4: 0xffffffff
+0x3db8: 0xffffffff
+0x3dbc: 0xffffffff
+0x3dc0: 0xffffffff
+0x3dc4: 0xffffffff
+0x3dc8: 0xffffffff
+0x3dcc: 0xffffffff
+0x3dd0: 0xffffffff
+0x3dd4: 0xffffffff
+0x3dd8: 0xffffffff
+0x3ddc: 0xffffffff
+0x3de0: 0xffffffff
+0x3de4: 0xffffffff
+0x3de8: 0xffffffff
+0x3dec: 0xffffffff
+0x3df0: 0xffffffff
+0x3df4: 0xffffffff
+0x3df8: 0xffffffff
+0x3dfc: 0xffffffff
+0x3e00: 0xffffffff
+0x3e04: 0xffffffff
+0x3e08: 0xffffffff
+0x3e0c: 0xffffffff
+0x3e10: 0xffffffff
+0x3e14: 0xffffffff
+0x3e18: 0xffffffff
+0x3e1c: 0xffffffff
+0x3e20: 0xffffffff
+0x3e24: 0xffffffff
+0x3e28: 0xffffffff
+0x3e2c: 0xffffffff
+0x3e30: 0xffffffff
+0x3e34: 0xffffffff
+0x3e38: 0xffffffff
+0x3e3c: 0xffffffff
+0x3e40: 0xffffffff
+0x3e44: 0xffffffff
+0x3e48: 0xffffffff
+0x3e4c: 0xffffffff
+0x3e50: 0xffffffff
+0x3e54: 0xffffffff
+0x3e58: 0xffffffff
+0x3e5c: 0xffffffff
+0x3e60: 0xffffffff
+0x3e64: 0xffffffff
+0x3e68: 0xffffffff
+0x3e6c: 0xffffffff
+0x3e70: 0xffffffff
+0x3e74: 0xffffffff
+0x3e78: 0xffffffff
+0x3e7c: 0xffffffff
+0x3e80: 0xffffffff
+0x3e84: 0xffffffff
+0x3e88: 0xffffffff
+0x3e8c: 0xffffffff
+0x3e90: 0xffffffff
+0x3e94: 0xffffffff
+0x3e98: 0xffffffff
+0x3e9c: 0xffffffff
+0x3ea0: 0xffffffff
+0x3ea4: 0xffffffff
+0x3ea8: 0xffffffff
+0x3eac: 0xffffffff
+0x3eb0: 0xffffffff
+0x3eb4: 0xffffffff
+0x3eb8: 0xffffffff
+0x3ebc: 0xffffffff
+0x3ec0: 0xffffffff
+0x3ec4: 0xffffffff
+0x3ec8: 0xffffffff
+0x3ecc: 0xffffffff
+0x3ed0: 0xffffffff
+0x3ed4: 0xffffffff
+0x3ed8: 0xffffffff
+0x3edc: 0xffffffff
+0x3ee0: 0xffffffff
+0x3ee4: 0xffffffff
+0x3ee8: 0xffffffff
+0x3eec: 0xffffffff
+0x3ef0: 0xffffffff
+0x3ef4: 0xffffffff
+0x3ef8: 0xffffffff
+0x3efc: 0xffffffff
+0x3f00: 0x0000010b
+
+
+
+============= PMBASE ============
+
+PMBASE = 0x0400 (IO)
+
+pmbase+0x0000: 0x0001     (PM1_STS)
+pmbase+0x0002: 0x0120     (PM1_EN)
+pmbase+0x0004: 0x00000001 (PM1_CNT)
+pmbase+0x0008: 0x00c2fe5a (PM1_TMR)
+pmbase+0x000c: 0x00000000 (RESERVED)
+pmbase+0x0010: 0x00000000 (RESERVED)
+pmbase+0x0014: 0x00000000 (RESERVED)
+pmbase+0x0018: 0x00000000 (RESERVED)
+pmbase+0x001c: 0x00000000 (RESERVED)
+pmbase+0x0020: 0x4eff0000 (GPE0_STS)
+               0x00000000
+pmbase+0x0028: 0x20000046 (GPE0_EN)
+               0x00000000
+pmbase+0x0030: 0x00020023 (SMI_EN)
+pmbase+0x0034: 0x00004100 (SMI_STS)
+pmbase+0x0038: 0x0000     (ALT_GP_SMI_EN)
+pmbase+0x003a: 0x4eff     (ALT_GP_SMI_STS)
+pmbase+0x003c: 0x00       (UPRWC)
+pmbase+0x003d: 0x00       (RESERVED)
+pmbase+0x003e: 0x0000     (RESERVED)
+pmbase+0x0040: 0x0000     (RESERVED)
+pmbase+0x0042: 0x00       (GPE_CNTL)
+pmbase+0x0043: 0x00       (RESERVED)
+pmbase+0x0044: 0x1240     (DEVACT_STS)
+pmbase+0x0046: 0x0000     (RESERVED)
+pmbase+0x0048: 0x00000000 (RESERVED)
+pmbase+0x004c: 0x00000000 (RESERVED)
+pmbase+0x0050: 0x00       (PM2_CNT)
+pmbase+0x0051: 0x00       (RESERVED)
+pmbase+0x0052: 0x0000     (RESERVED)
+pmbase+0x0054: 0x00002006 (RESERVED)
+pmbase+0x0058: 0x00000000 (RESERVED)
+pmbase+0x005c: 0x00000000 (RESERVED)
+pmbase+0x0060: 0x0004     (TCO_RLD)
+pmbase+0x0062: 0x00       (TCO_DAT_IN)
+pmbase+0x0063: 0x00       (TCO_DAT_OUT)
+pmbase+0x0064: 0x0000     (TCO1_STS)
+pmbase+0x0066: 0x0000     (TCO2_STS)
+pmbase+0x0068: 0x0800     (TCO1_CNT)
+pmbase+0x006a: 0x0008     (TCO2_CNT)
+pmbase+0x006c: 0x0000     (TCO_MESSAGE)
+pmbase+0x006e: 0x00       (TCO_WDCNT)
+pmbase+0x006f: 0x00       (RESERVED)
+pmbase+0x0070: 0x03       (SW_IRQ_GEN)
+pmbase+0x0071: 0x00       (RESERVED)
+pmbase+0x0072: 0x0004     (TCO_TMR)
+pmbase+0x0074: 0x00000000 (RESERVED)
+pmbase+0x0078: 0x00000000 (RESERVED)
+pmbase+0x007c: 0x00000000 (RESERVED)
+
+
+
+============= MCHBAR ============
+
+Error: Dumping MCHBAR on this northbridge is not (yet) supported.
+
+
+
+============= EPBAR =============
+
+Error: Dumping EPBAR on this northbridge is not (yet) supported.
+
+
+
+============= DMIBAR ============
+
+Error: Dumping DMIBAR on this northbridge is not (yet) supported.
+
+
+========= PCIEXBAR ========
+
+Error: Dumping PCIEXBAR on this northbridge is not (yet) supported.
+
+
+Error: Dumping MSRs on this CPU (0x0306a0) is not (yet) supported.
+
+
+
+============= AMBs ============
+
diff --git a/d3h-lspci b/d3h-lspci
new file mode 100644
index 0000000..0605eb2
--- /dev/null
+++ b/d3h-lspci
@@ -0,0 +1,127 @@
+00:00.0 Host bridge [0600]: Intel Corporation Device [8086:0150] (rev 09)
+	Subsystem: Giga-byte Technology Device [1458:5000]
+	Control: I/O- Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B+ ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort+ >SERR- <PERR- INTx-
+	Latency: 0
+	Capabilities: <access denied>
+
+00:02.0 VGA compatible controller [0300]: Intel Corporation Device [8086:0162] (rev 09) (prog-if 00 [VGA controller])
+	Subsystem: Giga-byte Technology Device [1458:d000]
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx+
+	Status: Cap+ 66MHz- UDF- FastB2B+ ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0
+	Interrupt: pin A routed to IRQ 43
+	Region 0: Memory at f7800000 (64-bit, non-prefetchable) [size=4M]
+	Region 2: Memory at e0000000 (64-bit, prefetchable) [size=256M]
+	Region 4: I/O ports at f000 [size=64]
+	Expansion ROM at <unassigned> [disabled]
+	Capabilities: <access denied>
+	Kernel driver in use: i915
+
+00:1a.0 USB Controller [0c03]: Intel Corporation Device [8086:1e2d] (rev 04) (prog-if 20 [EHCI])
+	Subsystem: Giga-byte Technology Device [1458:5006]
+	Control: I/O- Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B+ ParErr- DEVSEL=medium >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0
+	Interrupt: pin A routed to IRQ 16
+	Region 0: Memory at f7c08000 (32-bit, non-prefetchable) [size=1K]
+	Capabilities: <access denied>
+	Kernel driver in use: ehci_hcd
+
+00:1b.0 Audio device [0403]: Intel Corporation Device [8086:1e20] (rev 04)
+	Subsystem: Giga-byte Technology Device [1458:a002]
+	Control: I/O- Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx+
+	Status: Cap+ 66MHz- UDF- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0, Cache Line Size: 64 bytes
+	Interrupt: pin A routed to IRQ 42
+	Region 0: Memory at f7c00000 (64-bit, non-prefetchable) [size=16K]
+	Capabilities: <access denied>
+	Kernel driver in use: snd_hda_intel
+
+00:1c.0 PCI bridge [0604]: Intel Corporation Device [8086:1e10] (rev c4) (prog-if 00 [Normal decode])
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0, Cache Line Size: 64 bytes
+	Bus: primary=00, secondary=01, subordinate=01, sec-latency=0
+	Secondary status: 66MHz- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort+ <SERR- <PERR-
+	BridgeCtl: Parity- SERR- NoISA- VGA- MAbort- >Reset- FastB2B-
+		PriDiscTmr- SecDiscTmr- DiscTmrStat- DiscTmrSERREn-
+	Capabilities: <access denied>
+	Kernel driver in use: pcieport
+
+00:1c.4 PCI bridge [0604]: Intel Corporation Device [8086:1e18] (rev c4) (prog-if 00 [Normal decode])
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0, Cache Line Size: 64 bytes
+	Bus: primary=00, secondary=02, subordinate=02, sec-latency=0
+	I/O behind bridge: 0000e000-0000efff
+	Prefetchable memory behind bridge: 00000000f0000000-00000000f00fffff
+	Secondary status: 66MHz- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- <SERR- <PERR-
+	BridgeCtl: Parity- SERR- NoISA- VGA- MAbort- >Reset- FastB2B-
+		PriDiscTmr- SecDiscTmr- DiscTmrStat- DiscTmrSERREn-
+	Capabilities: <access denied>
+	Kernel driver in use: pcieport
+
+00:1d.0 USB Controller [0c03]: Intel Corporation Device [8086:1e26] (rev 04) (prog-if 20 [EHCI])
+	Subsystem: Giga-byte Technology Device [1458:5006]
+	Control: I/O- Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B+ ParErr- DEVSEL=medium >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0
+	Interrupt: pin A routed to IRQ 23
+	Region 0: Memory at f7c07000 (32-bit, non-prefetchable) [size=1K]
+	Capabilities: <access denied>
+	Kernel driver in use: ehci_hcd
+
+00:1e.0 PCI bridge [0604]: Intel Corporation 82801 PCI Bridge [8086:244e] (rev a4) (prog-if 01 [Subtractive decode])
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0
+	Bus: primary=00, secondary=03, subordinate=03, sec-latency=32
+	Secondary status: 66MHz- FastB2B+ ParErr- DEVSEL=medium >TAbort- <TAbort- <MAbort+ <SERR- <PERR-
+	BridgeCtl: Parity- SERR- NoISA- VGA- MAbort- >Reset- FastB2B-
+		PriDiscTmr- SecDiscTmr- DiscTmrStat- DiscTmrSERREn-
+	Capabilities: <access denied>
+
+00:1f.0 ISA bridge [0601]: Intel Corporation Device [8086:1e49] (rev 04)
+	Subsystem: Giga-byte Technology Device [1458:5001]
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap+ 66MHz- UDF- FastB2B- ParErr- DEVSEL=medium >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0
+	Capabilities: <access denied>
+	Kernel driver in use: lpc_ich
+
+00:1f.2 SATA controller [0106]: Intel Corporation Device [8086:1e02] (rev 04) (prog-if 01 [AHCI 1.0])
+	Subsystem: Giga-byte Technology Device [1458:b005]
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx+
+	Status: Cap+ 66MHz+ UDF- FastB2B+ ParErr- DEVSEL=medium >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0
+	Interrupt: pin B routed to IRQ 41
+	Region 0: I/O ports at f0b0 [size=8]
+	Region 1: I/O ports at f0a0 [size=4]
+	Region 2: I/O ports at f090 [size=8]
+	Region 3: I/O ports at f080 [size=4]
+	Region 4: I/O ports at f060 [size=32]
+	Region 5: Memory at f7c06000 (32-bit, non-prefetchable) [size=2K]
+	Capabilities: <access denied>
+	Kernel driver in use: ahci
+
+00:1f.3 SMBus [0c05]: Intel Corporation Device [8086:1e22] (rev 04)
+	Subsystem: Giga-byte Technology Device [1458:5001]
+	Control: I/O+ Mem+ BusMaster- SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx-
+	Status: Cap- 66MHz- UDF- FastB2B+ ParErr- DEVSEL=medium >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Interrupt: pin C routed to IRQ 18
+	Region 0: Memory at f7c05000 (64-bit, non-prefetchable) [size=256]
+	Region 4: I/O ports at f040 [size=32]
+
+02:00.0 Ethernet controller [0200]: Realtek Semiconductor Co., Ltd. RTL8111/8168B PCI Express Gigabit Ethernet controller [10ec:8168] (rev 06)
+	Subsystem: Giga-byte Technology GA-EP45-DS5 Motherboard [1458:e000]
+	Control: I/O+ Mem+ BusMaster+ SpecCycle- MemWINV- VGASnoop- ParErr- Stepping- SERR- FastB2B- DisINTx+
+	Status: Cap+ 66MHz- UDF- FastB2B- ParErr- DEVSEL=fast >TAbort- <TAbort- <MAbort- >SERR- <PERR- INTx-
+	Latency: 0, Cache Line Size: 64 bytes
+	Interrupt: pin A routed to IRQ 40
+	Region 0: I/O ports at e000 [size=256]
+	Region 2: Memory at f0004000 (64-bit, prefetchable) [size=4K]
+	Region 4: Memory at f0000000 (64-bit, prefetchable) [size=16K]
+	Capabilities: <access denied>
+	Kernel driver in use: r8169
+
diff --git a/d3h-superiodump b/d3h-superiodump
new file mode 100644
index 0000000..e2652a1
--- /dev/null
+++ b/d3h-superiodump
@@ -0,0 +1,42 @@
+superiotool r4.0-5901-g60ec2ff
+Found ITE IT8728F (id=0x8728, rev=0x1) at 0x2e
+Register dump:
+idx 02 07 20 21 22 23 24 2b  2e 2f
+val 00 0a 87 28 01 00 00 48  00 00
+def NA NA 87 28 01 00 00 MM  00 00
+LDN 0x00 (Floppy)
+idx 30 60 61 70 74 f0 f1
+val 00 03 f0 06 02 00 00
+def 00 03 f0 06 02 00 00
+LDN 0x01 (COM1)
+idx 30 60 61 70 f0 f1
+val 00 03 f8 04 00 50
+def 00 03 f8 04 00 50
+LDN 0x02 (COM2)
+idx 30 60 61 70 f0 f1
+val 00 02 f8 03 00 50
+def 00 02 f8 03 00 50
+LDN 0x03 (Parallel port)
+idx 30 60 61 62 63 70 74 f0
+val 00 03 78 07 78 07 04 0b
+def 00 03 78 07 78 07 03 03
+LDN 0x04 (Environment controller)
+idx 30 60 61 62 63 70 f0 f1  f2 f3 f4 f5 f6 f9 fa fb
+val 01 0a 30 0a 20 09 00 80  40 00 00 00 f0 48 00 00
+def 00 02 90 02 30 09 00 00  00 00 00 MM MM MM MM MM
+LDN 0x05 (Keyboard)
+idx 30 60 61 62 63 70 71 f0
+val 01 00 60 00 64 01 02 08
+def 01 00 60 00 64 01 02 48
+LDN 0x06 (Mouse)
+idx 30 70 71 f0
+val 01 0c 02 00
+def 00 0c 02 00
+LDN 0x07 (GPIO)
+idx 25 26 27 28 29 2a 2c 2d  60 61 62 63 64 65 70 71  72 73 74 b0 b1 b2 b3 b4  b8 b9 ba bb bc bd c0 c1  c2 c3 c4 c8 c9 ca cb cc  cd ce cf e0 e1 e2 e3 e4  e9 f0 f1 f2 f3 f4 f5 f6  f7 f8 f9 fa fb
+val 40 f3 10 00 00 00 80 00  00 00 0a 00 00 00 00 00  20 00 00 00 00 00 00 00  20 00 00 00 00 00 01 00  00 40 00 01 00 00 00 00  00 00 00 00 00 00 00 00  27 10 42 00 00 00 00 1c  00 00 00 00 00
+def 00 f3 00 00 00 00 03 00  00 00 00 00 00 00 00 00  20 38 00 00 00 00 00 00  20 00 00 00 00 00 01 00  00 40 00 01 00 00 40 00  00 00 00 00 00 00 00 00  MM 00 00 00 00 00 00 00  00 00 00 00 00
+LDN 0x0a (Consumer IR)
+idx 30 60 61 70 f0
+val 00 03 10 0b 06
+def 00 03 10 0b 06
diff --git a/output.txt b/output.txt
new file mode 100644
index 0000000..8466547
--- /dev/null
+++ b/output.txt
@@ -0,0 +1,392 @@
+coreboot-4.0-5895-g001166a-dirty-zam Fri May  9 18:04:51 EST 2014 starting...
+START:
+Fixed SuperIO
+BIST was ok
+CAFE passed
+Setting up static southbridge registers... done.
+Disabling Watchdog reboot... done.
+Setting up static northbridge registers... done.
+Initializing Graphics...
+Back from sandybridge_early_initialization()
+SMBus controller enabled.
+ME: Requested 32MB UMA
+Intel ME early init
+Intel ME firmware is ready
+Custom memory init...
+ERROR: SPD CRC failed!!!  Revision: 11
+  Type    : b
+  Key     : 2
+  Banks   : 8
+  Capacity: 4 Gb
+  Supported voltages: 1.5V
+  SDRAM width       : 8
+  Bus extension     : 0 bits
+  Bus width         : 64
+  Optional features : DLL-Off_mode RZQ/7 RZQ/6
+  Thermal features  : PASR ext_temp_range
+  Thermal sensor    : no
+  Standard SDRAM    : yes
+  DIMM Rank1 Address bits mirrored!!!
+  Row    addr bits  : 16
+  Column addr bits  : 10
+  Number of ranks   : 2
+  DIMM Capacity     : 8192 MB
+  CAS latencies     : 6 7 8 9 10 11
+  tCKmin            :   1.250 ns
+  tAAmin            :  13.125 ns
+  tWRmin            :  15.000 ns
+  tRCDmin           :  13.125 ns
+  tRRDmin           :   6.000 ns
+  tRPmin            :  13.125 ns
+  tRASmin           :  35.000 ns
+  tRCmin            :  48.125 ns
+  tRFCmin           : 260.000 ns
+  tWTRmin           :   7.500 ns
+  tRTPmin           :   7.500 ns
+  tFAWmin           :  30.000 ns
+i=0  rankmap[0][0] = 3
+SMBUS device 51 not a DDR3 module
+Not a DDR3 SPD!
+SMBUS device 52 not a DDR3 module
+Not a DDR3 SPD!
+SMBUS device 53 not a DDR3 module
+Not a DDR3 SPD!
+Dual channel supported
+Selected DRAM frequency: 800 MHz
+Minimum  CAS latency   : 11T
+Selected CAS latency   : 11T
+Selected CWL latency   : 8T
+Selected tRCD          : 11T
+Selected tRP           : 11T
+Selected tRAS          : 59T
+Selected tWR           : 12T
+Selected tFAW          : 24T
+Selected tRRD          : 5T
+Selected tRTP          : 6T
+Selected tWTR          : 6T
+Minimum  tRFC          : 208T
+Selected tRFC          : 208T
+Required tRC           : 39T
+ PLL busy...done
+MCU frequency is set at : 800 MHz
+Done dimm mapping
+Done memory map
+RCOMP...done
+COMP2 done
+COMP1 done
+FORCE RCOMP and wait 20us...done
+Done io registers
+Done jedec reset
+MR2 rank 0...done
+MR3 rank 0...done
+MR1 rank 0...done
+MR0 rank 0...done
+MR2 rank 1...done
+MR3 rank 1...done
+MR1 rank 1...done
+MR0 rank 1...done
+MR2 rank 2...done
+MR3 rank 2...done
+MR1 rank 2...done
+MR0 rank 2...done
+MR2 rank 3...done
+MR3 rank 3...done
+MR1 rank 3...done
+MR0 rank 3...done
+Refresh enable worked
+Done MRS commands
+Banks precharged on channel 0
+Banks precharged on channel 0
+Banks precharged on channel 0
+Done read training (hardcoded pi values)
+memcfg DDR3 clock 1600 MHz
+memcfg channel assignment: A: 0, B  1, C  3
+memcfg channel[0] config (00620020):
+   ECC inactive
+   enhanced interleave mode on
+   rank interleave on
+   DIMMA 8192 MB width x8 dual rank, selected
+   DIMMB 0 MB width x8 single rank
+memcfg channel[1] config (00600000):
+   ECC inactive
+   enhanced interleave mode on
+   rank interleave on
+   DIMMA 0 MB width x8 single rank, selected
+   DIMMB 0 MB width x8 single rank
+Back from custom memory init...
+ME: Sending Init Done with status: 0, UMA base: 0xffff
+ME: Requested BIOS Action: Continue to boot
+ME: FW Partition Table      : BAD
+ME: Bringup Loader Failure  : YES
+ME: Firmware Init Complete  : YES
+ME: Manufacturing Mode      : YES
+ME: Boot Options Present    : YES
+ME: Update In Progress      : YES
+ME: Current Working State   : Bring up
+ME: Current Operation State : M0 without UMA but with error
+ME: Current Operation Mode  : Module Loading
+ME: Error Code              : Global reset after an error
+ME: Progress Phase          : Sx/M3->S0/M0
+ME: Power Management Event  : <NULL>
+ME: Progress Phase State    : Unknown 0xff
+Testing DRAM at : 0f000000
+DRAM bitset write: 0x0f000000
+DRAM bitset verify: 0x0f000000
+0x0f000000 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000004 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000008 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f00000c wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000010 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f000014 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f000018 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f00001c wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f000020 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f000024 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f000028 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f00002c wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f000030 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f000034 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f000038 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f00003c wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f000040 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f000044 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f000048 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f00004c wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f000050 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f000054 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f000058 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f00005c wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f000060 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f000064 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f000068 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f00006c wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f000070 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f000074 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f000078 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f00007c wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f000080 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f000084 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f000088 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f00008c wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f000090 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f000094 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f000098 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f00009c wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f0000a0 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0000a4 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0000a8 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0000ac wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0000b0 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0000b4 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0000b8 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0000bc wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0000c0 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0000c4 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0000c8 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0000cc wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0000d0 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0000d4 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0000d8 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0000dc wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0000e0 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0000e4 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0000e8 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0000ec wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0000f0 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f0000f4 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f0000f8 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f0000fc wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f000000 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000004 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000008 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f00000c wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000100 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f000104 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f000108 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f00010c wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f000200 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f000204 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f000208 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f00020c wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f000300 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f000304 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f000308 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f00030c wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f000400 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f000404 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f000408 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f00040c wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f000500 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f000504 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f000508 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f00050c wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f000600 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f000604 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f000608 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f00060c wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f000700 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f000704 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f000708 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f00070c wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f000800 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f000804 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f000808 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f00080c wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f000900 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f000904 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f000908 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f00090c wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f000a00 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f000a04 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f000a08 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f000a0c wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f000b00 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f000b04 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f000b08 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f000b0c wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f000c00 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f000c04 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f000c08 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f000c0c wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f000d00 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f000d04 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f000d08 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f000d0c wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f000e00 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f000e04 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f000e08 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f000e0c wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f000f00 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f000f04 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f000f08 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f000f0c wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f000000 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000004 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000008 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f00000c wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f001000 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f001004 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f001008 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f00100c wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f002000 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f002004 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f002008 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f00200c wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f003000 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f003004 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f003008 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f00300c wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f004000 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f004004 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f004008 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f00400c wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f005000 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f005004 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f005008 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f00500c wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f006000 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f006004 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f006008 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f00600c wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f007000 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f007004 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f007008 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f00700c wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f008000 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f008004 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f008008 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f00800c wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f009000 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f009004 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f009008 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f00900c wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f00a000 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f00a004 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f00a008 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f00a00c wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f00b000 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f00b004 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f00b008 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f00b00c wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f00c000 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f00c004 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f00c008 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f00c00c wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f00d000 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f00d004 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f00d008 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f00d00c wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f00e000 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f00e004 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f00e008 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f00e00c wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f00f000 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f00f004 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f00f008 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f00f00c wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f000000 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000004 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f000008 wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f00000c wr: 0x01010101 rd: 0xffffffff FAIL
+0x0f010000 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f010004 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f010008 wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f01000c wr: 0x02020202 rd: 0xffffffff FAIL
+0x0f020000 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f020004 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f020008 wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f02000c wr: 0x04040404 rd: 0xffffffff FAIL
+0x0f030000 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f030004 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f030008 wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f03000c wr: 0x08080808 rd: 0xffffffff FAIL
+0x0f040000 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f040004 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f040008 wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f04000c wr: 0x10101010 rd: 0xffffffff FAIL
+0x0f050000 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f050004 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f050008 wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f05000c wr: 0x20202020 rd: 0xffffffff FAIL
+0x0f060000 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f060004 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f060008 wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f06000c wr: 0x40404040 rd: 0xffffffff FAIL
+0x0f070000 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f070004 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f070008 wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f07000c wr: 0x80808080 rd: 0xffffffff FAIL
+0x0f080000 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f080004 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f080008 wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f08000c wr: 0xfefefefe rd: 0xffffffff FAIL
+0x0f090000 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f090004 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f090008 wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f09000c wr: 0xfdfdfdfd rd: 0xffffffff FAIL
+0x0f0a0000 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0a0004 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0a0008 wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0a000c wr: 0xfbfbfbfb rd: 0xffffffff FAIL
+0x0f0b0000 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0b0004 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0b0008 wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0b000c wr: 0xf7f7f7f7 rd: 0xffffffff FAIL
+0x0f0c0000 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0c0004 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0c0008 wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0c000c wr: 0xefefefef rd: 0xffffffff FAIL
+0x0f0d0000 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0d0004 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0d0008 wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0d000c wr: 0xdfdfdfdf rd: 0xffffffff FAIL
+0x0f0e0000 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0e0004 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0e0008 wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0e000c wr: 0xbfbfbfbf rd: 0xffffffff FAIL
+0x0f0f0000 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f0f0004 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f0f0008 wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+0x0f0f000c wr: 0x7f7f7f7f rd: 0xffffffff FAIL
+
+DRAM did _NOT_ verify!
diff --git a/src/cpu/intel/Kconfig b/src/cpu/intel/Kconfig
index af03619..132a52d 100644
--- a/src/cpu/intel/Kconfig
+++ b/src/cpu/intel/Kconfig
@@ -34,6 +34,7 @@ source src/cpu/intel/socket_PGA370/Kconfig
 source src/cpu/intel/socket_441/Kconfig
 source src/cpu/intel/socket_LGA771/Kconfig
 source src/cpu/intel/socket_LGA775/Kconfig
+source src/cpu/intel/socket_LGA1155/Kconfig
 source src/cpu/intel/socket_rPGA989/Kconfig
 # Architecture specific features
 source src/cpu/intel/fit/Kconfig
diff --git a/src/cpu/intel/Makefile.inc b/src/cpu/intel/Makefile.inc
index 964369d..0bd0166 100644
--- a/src/cpu/intel/Makefile.inc
+++ b/src/cpu/intel/Makefile.inc
@@ -17,7 +17,6 @@ subdirs-$(CONFIG_CPU_INTEL_SOCKET_PGA370) += socket_PGA370
 subdirs-$(CONFIG_CPU_INTEL_SOCKET_RPGA989) += socket_rPGA989
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_NEHALEM) += model_2065x
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_SANDYBRIDGE) += model_206ax
-subdirs-$(CONFIG_NORTHBRIDGE_INTEL_IVYBRIDGE) += model_206ax
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_HASWELL) += haswell
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_FSP_SANDYBRIDGE) += fsp_model_206ax
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_FSP_IVYBRIDGE) += fsp_model_206ax
@@ -25,6 +24,7 @@ subdirs-$(CONFIG_CPU_INTEL_SLOT_2) += slot_2
 subdirs-$(CONFIG_CPU_INTEL_SLOT_1) += slot_1
 subdirs-$(CONFIG_CPU_INTEL_SOCKET_LGA771) += socket_LGA771
 subdirs-$(CONFIG_CPU_INTEL_SOCKET_LGA775) += socket_LGA775
+subdirs-$(CONFIG_CPU_INTEL_SOCKET_LGA1155) += socket_LGA1155
 
 #socket_mPGA604_533Mhz
 #socket_mPGA604_800Mhz
diff --git a/src/cpu/intel/socket_LGA1155/Kconfig b/src/cpu/intel/socket_LGA1155/Kconfig
new file mode 100644
index 0000000..3eae43d
--- /dev/null
+++ b/src/cpu/intel/socket_LGA1155/Kconfig
@@ -0,0 +1,16 @@
+config CPU_INTEL_SOCKET_LGA1155
+	bool
+
+if CPU_INTEL_SOCKET_LGA1155
+
+config SOCKET_SPECIFIC_OPTIONS # dummy
+	def_bool y
+	select CPU_INTEL_MODEL_206AX
+	select MMX
+	select SSE
+
+config CACHE_MRC_BIN
+	bool
+	default n
+
+endif # CPU_INTEL_SOCKET_LGA1155
diff --git a/src/cpu/intel/socket_LGA1155/Makefile.inc b/src/cpu/intel/socket_LGA1155/Makefile.inc
new file mode 100644
index 0000000..dfe8414
--- /dev/null
+++ b/src/cpu/intel/socket_LGA1155/Makefile.inc
@@ -0,0 +1,10 @@
+ramstage-y += socket_LGA1155.c
+subdirs-y += ../../x86/tsc
+subdirs-y += ../../x86/mtrr
+subdirs-y += ../../x86/lapic
+subdirs-y += ../../x86/cache
+subdirs-y += ../../x86/car
+subdirs-y += ../../x86/smm
+subdirs-y += ../microcode
+subdirs-y += ../turbo
+subdirs-y += ../model_206ax
diff --git a/src/cpu/intel/socket_LGA1155/socket_LGA1155.c b/src/cpu/intel/socket_LGA1155/socket_LGA1155.c
new file mode 100644
index 0000000..63c925d
--- /dev/null
+++ b/src/cpu/intel/socket_LGA1155/socket_LGA1155.c
@@ -0,0 +1,5 @@
+#include <device/device.h>
+
+struct chip_operations cpu_intel_socket_LGA1155_ops = {
+	CHIP_NAME("Socket LGA1155 CPU")
+};
diff --git a/src/cpu/x86/smm/smmhandler_tseg.S b/src/cpu/x86/smm/smmhandler_tseg.S
index b33fcdf..52ac28c 100644
--- a/src/cpu/x86/smm/smmhandler_tseg.S
+++ b/src/cpu/x86/smm/smmhandler_tseg.S
@@ -60,6 +60,9 @@
 #if CONFIG_NORTHBRIDGE_INTEL_SANDYBRIDGE || CONFIG_NORTHBRIDGE_INTEL_IVYBRIDGE
 #include <northbridge/intel/sandybridge/sandybridge.h>
 #define TSEG_BAR (DEFAULT_PCIEXBAR | TSEG)
+#elif CONFIG_NORTHBRIDGE_INTEL_SANDYIVY_NATIVE
+#include <northbridge/intel/sandyivy-native/sandybridge.h>
+#define TSEG_BAR (DEFAULT_PCIEXBAR | TSEG)
 #elif CONFIG_NORTHBRIDGE_INTEL_NEHALEM
 #include <northbridge/intel/nehalem/nehalem.h>
 #define TSEG_BAR (DEFAULT_PCIEXBAR | TSEG)
diff --git a/src/cpu/x86/smm/smmrelocate.S b/src/cpu/x86/smm/smmrelocate.S
index bdc9771..a2c855a 100644
--- a/src/cpu/x86/smm/smmrelocate.S
+++ b/src/cpu/x86/smm/smmrelocate.S
@@ -51,6 +51,9 @@
 #if CONFIG_NORTHBRIDGE_INTEL_SANDYBRIDGE || CONFIG_NORTHBRIDGE_INTEL_IVYBRIDGE
 #include <northbridge/intel/sandybridge/sandybridge.h>
 #define TSEG_BAR (DEFAULT_PCIEXBAR | TSEG)
+#elif CONFIG_NORTHBRIDGE_INTEL_SANDYIVY_NATIVE
+#include <northbridge/intel/sandyivy-native/sandybridge.h>
+#define TSEG_BAR (DEFAULT_PCIEXBAR | TSEG)
 #elif CONFIG_NORTHBRIDGE_INTEL_NEHALEM
 #include <northbridge/intel/nehalem/nehalem.h>
 #define TSEG_BAR (DEFAULT_PCIEXBAR | TSEG)
diff --git a/src/device/dram/ddr3.c b/src/device/dram/ddr3.c
index 9b4f490..69782ab 100644
--- a/src/device/dram/ddr3.c
+++ b/src/device/dram/ddr3.c
@@ -110,7 +110,7 @@ int spd_decode_ddr3(dimm_attr * dimm, spd_raw_data spd)
 {
 	int ret;
 	u16 crc, spd_crc;
-	u8 ftb_divisor, ftb_dividend, capacity_shift, bus_width, sdram_width;
+	u8 ftb_divisor, ftb_dividend, capacity_shift, bus_width;
 	u8 reg8;
 	u32 mtb;		/* medium time base */
 	unsigned int val, param;
@@ -209,8 +209,8 @@ int spd_decode_ddr3(dimm_attr * dimm, spd_raw_data spd)
 		printram("  Invalid SDRAM width\n");
 		ret = SPD_STATUS_INVALID_FIELD;
 	}
-	sdram_width = (4 << val);
-	printram("  SDRAM width       : %u\n", sdram_width);
+	dimm->width = (4 << val);
+	printram("  SDRAM width       : %u\n", dimm->width);
 
 	/* Memory bus width */
 	reg8 = spd[8];
@@ -236,7 +236,7 @@ int spd_decode_ddr3(dimm_attr * dimm, spd_raw_data spd)
 	 * capacity_shift
 	 * The rest is the JEDEC formula */
 	dimm->size_mb = ((1 << (capacity_shift + (25 - 20))) * bus_width
-			 * dimm->ranks) / sdram_width;
+			 * dimm->ranks) / dimm->width;
 
 	/* Fine Timebase (FTB) Dividend/Divisor */
 	/* Dividend */
diff --git a/src/include/device/dram/ddr3.h b/src/include/device/dram/ddr3.h
index b19c51c..97f3a8b 100644
--- a/src/include/device/dram/ddr3.h
+++ b/src/include/device/dram/ddr3.h
@@ -37,6 +37,7 @@
  * @{
  */
 #define TCK_1066MHZ     240
+#define TCK_933MHZ      275
 #define TCK_800MHZ      320
 #define TCK_666MHZ      384
 #define TCK_533MHZ      480
@@ -137,6 +138,8 @@ typedef struct dimm_attr_st {
 	u16 cas_supported;
 	/* Flags extracted from SPD */
 	dimm_flags_t flags;
+	/* SDRAM width */
+	u8 width;
 	/* Number of ranks */
 	u8 ranks;
 	/* Number or row address bits */
diff --git a/src/mainboard/gigabyte/Kconfig b/src/mainboard/gigabyte/Kconfig
index ff96a17..c46b979 100644
--- a/src/mainboard/gigabyte/Kconfig
+++ b/src/mainboard/gigabyte/Kconfig
@@ -27,6 +27,8 @@ config BOARD_GIGABYTE_GA_6BXC
 	bool "GA-6BXC"
 config BOARD_GIGABYTE_GA_6BXE
 	bool "GA-6BXE"
+config BOARD_GIGABYTE_GA_B75M_D3H
+	bool "GA-B75M-D3H"
 config BOARD_GIGABYTE_M57SLI
 	bool "GA-M57SLI-S4"
 config BOARD_GIGABYTE_MA785GMT
@@ -41,6 +43,7 @@ endchoice
 source "src/mainboard/gigabyte/ga_2761gxdk/Kconfig"
 source "src/mainboard/gigabyte/ga-6bxc/Kconfig"
 source "src/mainboard/gigabyte/ga-6bxe/Kconfig"
+source "src/mainboard/gigabyte/ga-b75m-d3h/Kconfig"
 source "src/mainboard/gigabyte/m57sli/Kconfig"
 source "src/mainboard/gigabyte/ma785gmt/Kconfig"
 source "src/mainboard/gigabyte/ma785gm/Kconfig"
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/Kconfig b/src/mainboard/gigabyte/ga-b75m-d3h/Kconfig
new file mode 100644
index 0000000..a2ed12c
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/Kconfig
@@ -0,0 +1,84 @@
+##
+## This file is part of the coreboot project.
+##
+## Copyright (C) 2009 Uwe Hermann <uwe at hermann-uwe.de>
+##
+## This program is free software; you can redistribute it and/or modify
+## it under the terms of the GNU General Public License as published by
+## the Free Software Foundation; version 2 of the License.
+##
+## This program is distributed in the hope that it will be useful,
+## but WITHOUT ANY WARRANTY; without even the implied warranty of
+## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+## GNU General Public License for more details.
+##
+## You should have received a copy of the GNU General Public License
+## along with this program; if not, write to the Free Software
+## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+##
+if BOARD_GIGABYTE_GA_B75M_D3H
+
+config BOARD_SPECIFIC_OPTIONS # dummy
+	def_bool y
+	select ARCH_X86
+	select CPU_INTEL_SOCKET_LGA1155
+	select NORTHBRIDGE_INTEL_SANDYIVY_NATIVE # G860 CPU
+	select SOUTHBRIDGE_INTEL_C216 # Panther Point
+	select SUPERIO_ITE_IT8728F
+	select BROKEN_CAR_MIGRATE
+	select DEBUG_RAM_SETUP
+	select HAVE_ACPI_TABLES
+	select HAVE_OPTION_TABLE
+	#select HAVE_ACPI_RESUME
+	select GFXUMA
+	select BOARD_ROMSIZE_KB_8192
+	select HAVE_PIRQ_TABLE
+	#select MAINBOARD_HAS_NATIVE_VGA_INIT
+	select HAVE_CMOS_DEFAULT
+
+config MAINBOARD_DIR
+	string
+	default gigabyte/ga-b75m-d3h
+
+config MAINBOARD_PART_NUMBER
+	string
+	default "GA-B75M-D3H"
+
+config IRQ_SLOT_COUNT
+	int
+	default 18
+
+config MAX_CPUS
+	int
+	default 8
+
+# No need to override the chipset VGA_BIOS_ID.
+config VGA_BIOS_FILE
+	string
+	default "pci8086,0102.rom"
+
+config HAVE_IFD_BIN
+	bool
+	default y
+
+config HAVE_ME_BIN
+	bool
+	default y
+
+config HAVE_GBE_BIN
+	bool
+	default n
+
+config IFD_BIOS_SECTION
+	string
+	default "0x00600000:0x007fffff"
+
+config IFD_ME_SECTION
+	string
+	default "0x00001000:0x004fffff"
+
+config IFD_GBE_SECTION
+	string
+	default "0x00fff000:0x00000fff"
+
+endif # BOARD_GIGABYTE_GA_B75M_D3H
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/Makefile.inc b/src/mainboard/gigabyte/ga-b75m-d3h/Makefile.inc
new file mode 100644
index 0000000..246b8ac
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/Makefile.inc
@@ -0,0 +1,26 @@
+##
+## This file is part of the coreboot project.
+##
+## Copyright (C) 2011 The ChromiumOS Authors.  All rights reserved.
+##
+## This program is free software; you can redistribute it and/or modify
+## it under the terms of the GNU General Public License as published by
+## the Free Software Foundation; version 2 of the License.
+##
+## This program is distributed in the hope that it will be useful,
+## but WITHOUT ANY WARRANTY; without even the implied warranty of
+## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+## GNU General Public License for more details.
+##
+## You should have received a copy of the GNU General Public License
+## along with this program; if not, write to the Free Software
+## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+##
+
+#ramstage-$(CONFIG_MAINBOARD_DO_NATIVE_VGA_INIT) += i915.c
+#smm-$(CONFIG_HAVE_SMI_HANDLER) += mainboard_smi.c
+romstage-y += ../../../cpu/x86/lapic/boot_cpu.c
+romstage-y += ../../../device/dram/ddr3.c
+
+#ramstage-y += ../../../arch/x86/include/arch/acpi.h
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/ec.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/ec.asl
new file mode 100644
index 0000000..abd87cb
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/ec.asl
@@ -0,0 +1 @@
+/* This file is empty */
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/mainboard.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/mainboard.asl
new file mode 100644
index 0000000..09cff9a
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/mainboard.asl
@@ -0,0 +1,28 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011 Google Inc.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston,
+ * MA 02110-1301 USA
+ */
+
+Scope (\_SB)
+{
+	Device (PWRB)
+	{
+		Name (_HID, EisaId("PNP0C0C"))
+	}
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/platform.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/platform.asl
new file mode 100644
index 0000000..1448aeb
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/platform.asl
@@ -0,0 +1,73 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2012 The Chromium OS Authors. All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+/* The APM port can be used for generating software SMIs */
+
+OperationRegion (APMP, SystemIO, 0xb2, 2)
+Field (APMP, ByteAcc, NoLock, Preserve)
+{
+	APMC, 8,	// APM command
+	APMS, 8		// APM status
+}
+
+/* Port 80 POST */
+
+OperationRegion (POST, SystemIO, 0x80, 1)
+Field (POST, ByteAcc, Lock, Preserve)
+{
+	DBG0, 8
+}
+
+/* SMI I/O Trap */
+Method(TRAP, 1, Serialized)
+{
+	Store (Arg0, SMIF)	// SMI Function
+	Store (0, TRP0)		// Generate trap
+	Return (SMIF)		// Return value of SMI handler
+}
+
+/* The _PIC method is called by the OS to choose between interrupt
+ * routing via the i8259 interrupt controller or the APIC.
+ *
+ * _PIC is called with a parameter of 0 for i8259 configuration and
+ * with a parameter of 1 for Local Apic/IOAPIC configuration.
+ */
+
+Method(_PIC, 1)
+{
+	// Remember the OS' IRQ routing choice.
+	Store(Arg0, PICM)
+}
+
+/* The _PTS method (Prepare To Sleep) is called before the OS is
+ * entering a sleep state. The sleep state number is passed in Arg0
+ */
+
+Method(_PTS,1)
+{
+
+}
+
+/* The _WAK method is called on system wakeup */
+
+Method(_WAK,1)
+{
+	Return(Package(){0,0})
+}
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/sandybridge_pci_irqs.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/sandybridge_pci_irqs.asl
new file mode 100644
index 0000000..7ce8cd8
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/sandybridge_pci_irqs.asl
@@ -0,0 +1,73 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+/* This is board specific information: IRQ routing for Sandybridge */
+
+// PCI Interrupt Routing
+Method(_PRT)
+{
+	If (PICM) {
+		Return (Package() {
+			// Onboard graphics (IGD)	0:2.0
+			Package() { 0x0002ffff, 0, 0, 16 },
+			// XHCI	0:14.0
+			Package() { 0x0014ffff, 0, 0, 19 },
+			// High Definition Audio	0:1b.0
+			Package() { 0x001bffff, 0, 0, 16 },
+			// PCIe Root Ports		0:1c.x
+			Package() { 0x001cffff, 0, 0, 19 },
+			Package() { 0x001cffff, 1, 0, 20 },
+			Package() { 0x001cffff, 2, 0, 17 },
+			Package() { 0x001cffff, 3, 0, 18 },
+			// EHCI	#1			0:1d.0
+			Package() { 0x001dffff, 0, 0, 19 },
+			// EHCI	#2			0:1a.0
+			Package() { 0x001affff, 0, 0, 21 },
+			// LPC devices			0:1f.0
+			Package() { 0x001fffff, 0, 0, 17 },
+			Package() { 0x001fffff, 1, 0, 23 },
+			Package() { 0x001fffff, 2, 0, 16 },
+			Package() { 0x001fffff, 3, 0, 18 },
+		})
+	} Else {
+		Return (Package() {
+			// Onboard graphics (IGD)	0:2.0
+			Package() { 0x0002ffff, 0, \_SB.PCI0.LPCB.LNKA, 0 },
+			// XHCI   0:14.0
+			Package() { 0x0014ffff, 0, \_SB.PCI0.LPCB.LNKD, 0 },
+			// High Definition Audio	0:1b.0
+			Package() { 0x001bffff, 0, \_SB.PCI0.LPCB.LNKA, 0 },
+			// PCIe Root Ports		0:1c.x
+			Package() { 0x001cffff, 0, \_SB.PCI0.LPCB.LNKD, 0 },
+			Package() { 0x001cffff, 1, \_SB.PCI0.LPCB.LNKE, 0 },
+			Package() { 0x001cffff, 2, \_SB.PCI0.LPCB.LNKB, 0 },
+			Package() { 0x001cffff, 3, \_SB.PCI0.LPCB.LNKC, 0 },
+			// EHCI	#1			0:1d.0
+			Package() { 0x001dffff, 0, \_SB.PCI0.LPCB.LNKD, 0 },
+			// EHCI	#2			0:1a.0
+			Package() { 0x001affff, 0, \_SB.PCI0.LPCB.LNKF, 0 },
+			// LPC device			0:1f.0
+			Package() { 0x001fffff, 0, \_SB.PCI0.LPCB.LNKB, 0 },
+			Package() { 0x001fffff, 1, \_SB.PCI0.LPCB.LNKH, 0 },
+			Package() { 0x001fffff, 2, \_SB.PCI0.LPCB.LNKA, 0 },
+			Package() { 0x001fffff, 3, \_SB.PCI0.LPCB.LNKC, 0 },
+		})
+	}
+}
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/superio.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/superio.asl
new file mode 100644
index 0000000..753fc29
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/superio.asl
@@ -0,0 +1,24 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2012 The ChromiumOS Authors.  All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+/* mainboard configuration */
+
+#define SIO_EC_ENABLE_PS2K       // Enable PS/2 Keyboard
+#define SIO_ENABLE_PS2M          // Enable PS/2 Mouse
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/thermal.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/thermal.asl
new file mode 100644
index 0000000..f71611a
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/thermal.asl
@@ -0,0 +1,65 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011 The Chromium OS Authors. All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+// Thermal Zone
+
+Scope (\_TZ)
+{
+	ThermalZone (THRM)
+	{
+		Name (_TC1, 0x02)
+		Name (_TC2, 0x03)
+
+		// Thermal zone polling frequency: 10 seconds
+		Name (_TZP, 100)
+
+		// Thermal sampling period for passive cooling: 10 seconds
+		Name (_TSP, 100)
+
+		// Convert from Degrees C to 1/10 Kelvin for ACPI
+		Method (CTOK, 1)
+		{
+			// 10th of Degrees C
+			Multiply (Arg0, 10, Local0)
+
+			// Convert to Kelvin
+			Add (Local0, 2732, Local0)
+
+			Return (Local0)
+		}
+
+		// Threshold for OS to shutdown
+		Method (_CRT, 0, Serialized)
+		{
+			Return (CTOK (\TCRT))
+		}
+
+		// Threshold for passive cooling
+		Method (_PSV, 0, Serialized)
+		{
+			Return (CTOK (\TPSV))
+		}
+
+		// Processors used for passive cooling
+		Method (_PSL, 0, Serialized)
+		{
+			Return (\PPKG ())
+		}
+	}
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi/video.asl b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/video.asl
new file mode 100644
index 0000000..3ececa9
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi/video.asl
@@ -0,0 +1,43 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+// Brightness write
+Method (BRTW, 1, Serialized)
+{
+	// TODO
+}
+
+// Hot Key Display Switch
+Method (HKDS, 1, Serialized)
+{
+	// TODO
+}
+
+// Lid Switch Display Switch
+Method (LSDS, 1, Serialized)
+{
+	// TODO
+}
+
+// Brightness Notification
+Method(BRTN,1,Serialized)
+{
+	// TODO (no displays defined yet)
+}
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/acpi_tables.c b/src/mainboard/gigabyte/ga-b75m-d3h/acpi_tables.c
new file mode 100644
index 0000000..23c00a2
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/acpi_tables.c
@@ -0,0 +1,262 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <types.h>
+#include <string.h>
+#include <cbmem.h>
+#include <console/console.h>
+#include <arch/acpi.h>
+#include <arch/ioapic.h>
+#include <arch/acpigen.h>
+#include <arch/smp/mpspec.h>
+#include <device/device.h>
+#include <device/pci.h>
+#include <device/pci_ids.h>
+#include <cpu/cpu.h>
+#include <cpu/x86/msr.h>
+#include "onboard.h"
+
+extern const unsigned char AmlCode[];
+#if CONFIG_HAVE_ACPI_SLIC
+unsigned long acpi_create_slic(unsigned long current);
+#endif
+
+#include <southbridge/intel/bd82x6x/pch.h>
+#include <southbridge/intel/bd82x6x/nvs.h>
+#include "thermal.h"
+
+static void acpi_update_thermal_table(global_nvs_t *gnvs)
+{
+	/* EC handles all thermal and fan control on Stout. */
+	gnvs->tcrt = CRITICAL_TEMPERATURE;
+	gnvs->tpsv = PASSIVE_TEMPERATURE;
+	gnvs->tmax = MAX_TEMPERATURE;
+}
+
+static void acpi_create_gnvs(global_nvs_t *gnvs)
+{
+	memset((void *)gnvs, 0, sizeof(*gnvs));
+	gnvs->apic = 1;
+	gnvs->mpen = 1; /* Enable Multi Processing */
+	gnvs->pcnt = dev_count_cpu();
+
+	/* Disable USB ports in S3 by default */
+	gnvs->s3u0 = 0;
+	gnvs->s3u1 = 0;
+
+	/* Disable USB ports in S5 by default */
+	gnvs->s5u0 = 0;
+	gnvs->s5u1 = 0;
+
+	/* CBMEM TOC */
+	gnvs->cmem = (u32)get_cbmem_toc();
+
+	/* IGD Displays */
+	gnvs->ndid = 3;
+	gnvs->did[0] = 0x80000100;
+	gnvs->did[1] = 0x80000240;
+	gnvs->did[2] = 0x80000410;
+	gnvs->did[3] = 0x80000410;
+	gnvs->did[4] = 0x00000005;
+
+	acpi_update_thermal_table(gnvs);
+
+	// the lid is open by default.
+	gnvs->lids = 1;
+
+	/* XHCI Mode */
+	gnvs->xhci = XHCI_MODE;
+}
+
+unsigned long acpi_fill_madt(unsigned long current)
+{
+	/* Local APICs */
+	current = acpi_create_madt_lapics(current);
+
+	/* IOAPIC */
+	current += acpi_create_madt_ioapic((acpi_madt_ioapic_t *) current,
+				2, IO_APIC_ADDR, 0);
+
+	/* INT_SRC_OVR */
+	current += acpi_create_madt_irqoverride((acpi_madt_irqoverride_t *)
+		 current, 0, 0, 2, 0);
+	current += acpi_create_madt_irqoverride((acpi_madt_irqoverride_t *)
+		 current, 0, 9, 9, MP_IRQ_TRIGGER_LEVEL | MP_IRQ_POLARITY_HIGH);
+
+	return current;
+}
+
+unsigned long acpi_fill_ssdt_generator(unsigned long current,
+					const char *oem_table_id)
+{
+	generate_cpu_entries();
+	return (unsigned long) (acpigen_get_current());
+}
+
+unsigned long acpi_fill_slit(unsigned long current)
+{
+	// Not implemented
+	return current;
+}
+
+unsigned long acpi_fill_srat(unsigned long current)
+{
+	/* No NUMA, no SRAT */
+	return current;
+}
+
+#define ALIGN_CURRENT current = ((current + 0x0f) & -0x10)
+unsigned long write_acpi_tables(unsigned long start)
+{
+	unsigned long current;
+	int i;
+	acpi_rsdp_t *rsdp;
+	acpi_rsdt_t *rsdt;
+	acpi_xsdt_t *xsdt;
+	acpi_hpet_t *hpet;
+	acpi_madt_t *madt;
+	acpi_mcfg_t *mcfg;
+	acpi_fadt_t *fadt;
+	acpi_facs_t *facs;
+#if CONFIG_HAVE_ACPI_SLIC
+	acpi_header_t *slic;
+#endif
+	acpi_header_t *ssdt;
+	acpi_header_t *dsdt;
+
+	current = start;
+
+	/* Align ACPI tables to 16byte */
+	ALIGN_CURRENT;
+
+	printk(BIOS_INFO, "ACPI: Writing ACPI tables at %lx.\n", start);
+
+	/* We need at least an RSDP and an RSDT Table */
+	rsdp = (acpi_rsdp_t *) current;
+	current += sizeof(acpi_rsdp_t);
+	ALIGN_CURRENT;
+	rsdt = (acpi_rsdt_t *) current;
+	current += sizeof(acpi_rsdt_t);
+	ALIGN_CURRENT;
+	xsdt = (acpi_xsdt_t *) current;
+	current += sizeof(acpi_xsdt_t);
+	ALIGN_CURRENT;
+
+	/* clear all table memory */
+	memset((void *) start, 0, current - start);
+
+	acpi_write_rsdp(rsdp, rsdt, xsdt);
+	acpi_write_rsdt(rsdt);
+	acpi_write_xsdt(xsdt);
+
+	printk(BIOS_DEBUG, "ACPI:    * FACS\n");
+	facs = (acpi_facs_t *) current;
+	current += sizeof(acpi_facs_t);
+	ALIGN_CURRENT;
+	acpi_create_facs(facs);
+
+	printk(BIOS_DEBUG, "ACPI:    * DSDT\n");
+	dsdt = (acpi_header_t *) current;
+	memcpy(dsdt, &AmlCode, sizeof(acpi_header_t));
+	current += dsdt->length;
+	memcpy(dsdt, &AmlCode, dsdt->length);
+
+	ALIGN_CURRENT;
+
+	printk(BIOS_DEBUG, "ACPI:    * FADT\n");
+	fadt = (acpi_fadt_t *) current;
+	current += sizeof(acpi_fadt_t);
+	ALIGN_CURRENT;
+
+	acpi_create_fadt(fadt, facs, dsdt);
+	acpi_add_table(rsdp, fadt);
+
+	/*
+	 * We explicitly add these tables later on:
+	 */
+	printk(BIOS_DEBUG, "ACPI:    * HPET\n");
+
+	hpet = (acpi_hpet_t *) current;
+	current += sizeof(acpi_hpet_t);
+	ALIGN_CURRENT;
+	acpi_create_hpet(hpet);
+	acpi_add_table(rsdp, hpet);
+
+	/* If we want to use HPET Timers Linux wants an MADT */
+	printk(BIOS_DEBUG, "ACPI:    * MADT\n");
+
+	madt = (acpi_madt_t *) current;
+	acpi_create_madt(madt);
+	current += madt->header.length;
+	ALIGN_CURRENT;
+	acpi_add_table(rsdp, madt);
+
+	printk(BIOS_DEBUG, "ACPI:    * MCFG\n");
+	mcfg = (acpi_mcfg_t *) current;
+	acpi_create_mcfg(mcfg);
+	current += mcfg->header.length;
+	ALIGN_CURRENT;
+	acpi_add_table(rsdp, mcfg);
+
+	/* Pack GNVS into the ACPI table area */
+	for (i=0; i < dsdt->length; i++) {
+		if (*(u32*)(((u32)dsdt) + i) == 0xC0DEBABE) {
+			printk(BIOS_DEBUG, "ACPI: Patching up global NVS in "
+			     "DSDT at offset 0x%04x -> 0x%08lx\n", i, current);
+			*(u32*)(((u32)dsdt) + i) = current; // 0x92 bytes
+			acpi_save_gnvs(current);
+			break;
+		}
+	}
+
+	/* And fill it */
+	acpi_create_gnvs((global_nvs_t *)current);
+
+	/* And tell SMI about it */
+	//smm_setup_structures((void *)current, NULL, NULL);
+
+	current += sizeof(global_nvs_t);
+	ALIGN_CURRENT;
+
+	/* We patched up the DSDT, so we need to recalculate the checksum */
+	dsdt->checksum = 0;
+	dsdt->checksum = acpi_checksum((void *)dsdt, dsdt->length);
+
+	printk(BIOS_DEBUG, "ACPI:     * DSDT @ %p Length %x\n", dsdt,
+		     dsdt->length);
+
+#if CONFIG_HAVE_ACPI_SLIC
+	printk(BIOS_DEBUG, "ACPI:     * SLIC\n");
+	slic = (acpi_header_t *)current;
+	current += acpi_create_slic(current);
+	ALIGN_CURRENT;
+	acpi_add_table(rsdp, slic);
+#endif
+
+	printk(BIOS_DEBUG, "ACPI:     * SSDT\n");
+	ssdt = (acpi_header_t *)current;
+	acpi_create_ssdt_generator(ssdt, ACPI_TABLE_CREATOR);
+	current += ssdt->length;
+	acpi_add_table(rsdp, ssdt);
+	ALIGN_CURRENT;
+
+	printk(BIOS_DEBUG, "current = %lx\n", current);
+	printk(BIOS_INFO, "ACPI: done.\n");
+	return current;
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/cmos.default b/src/mainboard/gigabyte/ga-b75m-d3h/cmos.default
new file mode 100644
index 0000000..3578797
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/cmos.default
@@ -0,0 +1,2 @@
+mrc_scrambler_seed=0x00ac4500
+mrc_scrambler_seed_s3=0x00f7c200
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/cmos.layout b/src/mainboard/gigabyte/ga-b75m-d3h/cmos.layout
new file mode 100644
index 0000000..4ae5b59
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/cmos.layout
@@ -0,0 +1,140 @@
+##
+## This file is part of the coreboot project.
+##
+## Copyright (C) 2007-2008 coresystems GmbH
+##
+## This program is free software; you can redistribute it and/or modify
+## it under the terms of the GNU General Public License as published by
+## the Free Software Foundation; version 2 of the License.
+##
+## This program is distributed in the hope that it will be useful,
+## but WITHOUT ANY WARRANTY; without even the implied warranty of
+## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+## GNU General Public License for more details.
+##
+## You should have received a copy of the GNU General Public License
+## along with this program; if not, write to the Free Software
+## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+##
+
+# -----------------------------------------------------------------
+entries
+
+#start-bit length  config config-ID    name
+#0            8       r       0        seconds
+#8            8       r       0        alarm_seconds
+#16           8       r       0        minutes
+#24           8       r       0        alarm_minutes
+#32           8       r       0        hours
+#40           8       r       0        alarm_hours
+#48           8       r       0        day_of_week
+#56           8       r       0        day_of_month
+#64           8       r       0        month
+#72           8       r       0        year
+# -----------------------------------------------------------------
+# Status Register A
+#80           4       r       0        rate_select
+#84           3       r       0        REF_Clock
+#87           1       r       0        UIP
+# -----------------------------------------------------------------
+# Status Register B
+#88           1       r       0        auto_switch_DST
+#89           1       r       0        24_hour_mode
+#90           1       r       0        binary_values_enable
+#91           1       r       0        square-wave_out_enable
+#92           1       r       0        update_finished_enable
+#93           1       r       0        alarm_interrupt_enable
+#94           1       r       0        periodic_interrupt_enable
+#95           1       r       0        disable_clock_updates
+# -----------------------------------------------------------------
+# Status Register C
+#96           4       r       0        status_c_rsvd
+#100          1       r       0        uf_flag
+#101          1       r       0        af_flag
+#102          1       r       0        pf_flag
+#103          1       r       0        irqf_flag
+# -----------------------------------------------------------------
+# Status Register D
+#104          7       r       0        status_d_rsvd
+#111          1       r       0        valid_cmos_ram
+# -----------------------------------------------------------------
+# Diagnostic Status Register
+#112          8       r       0        diag_rsvd1
+
+# -----------------------------------------------------------------
+0          120       r       0        reserved_memory
+#120        264       r       0        unused
+
+# -----------------------------------------------------------------
+# RTC_BOOT_BYTE (coreboot hardcoded)
+384          1       e       4        boot_option
+385          1       e       4        last_boot
+388          4       r       0        reboot_bits
+#390          2       r       0        unused?
+
+# -----------------------------------------------------------------
+# coreboot config options: console
+392          3       e       5        baud_rate
+395          4       e       6        debug_level
+#399          1       r       0        unused
+
+# coreboot config options: cpu
+400          1       e       2        hyper_threading
+#401          7       r       0        unused
+
+# coreboot config options: southbridge
+408          1       e       1        nmi
+409          2       e       7        power_on_after_fail
+#411          5       r       0        unused
+
+# coreboot config options: bootloader
+#Used by ChromeOS:
+###416        128       r        0        vbnv
+#544        440       r       0        unused
+
+# SandyBridge MRC Scrambler Seed values
+896         32        h       0        mrc_scrambler_seed
+928         32        h       0        mrc_scrambler_seed_s3
+960         16        h       0        mrc_scrambler_seed_chk
+
+# coreboot config options: check sums
+984         16       h       0        check_sum
+#1000        24       r       0        amd_reserved
+
+# -----------------------------------------------------------------
+
+enumerations
+
+#ID value   text
+1     0     Disable
+1     1     Enable
+2     0     Enable
+2     1     Disable
+4     0     Fallback
+4     1     Normal
+5     0     115200
+5     1     57600
+5     2     38400
+5     3     19200
+5     4     9600
+5     5     4800
+5     6     2400
+5     7     1200
+6     1     Emergency
+6     2     Alert
+6     3     Critical
+6     4     Error
+6     5     Warning
+6     6     Notice
+6     7     Info
+6     8     Debug
+6     9     Spew
+7     0     Disable
+7     1     Enable
+7     2     Keep
+# -----------------------------------------------------------------
+checksums
+
+checksum 392 415 984
+
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/devicetree.cb b/src/mainboard/gigabyte/ga-b75m-d3h/devicetree.cb
new file mode 100644
index 0000000..1245ace
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/devicetree.cb
@@ -0,0 +1,115 @@
+#
+chip northbridge/intel/sandybridge
+
+	# Enable DVI Hotplug with 6ms pulse
+	#register "gpu_dp_b_hotplug" = "0x06"
+
+	device cpu_cluster 0 on
+		chip cpu/intel/socket_LGA1155
+			device lapic 0 on end
+		end
+		chip cpu/intel/model_206ax
+			# Magic APIC ID to locate this chip
+			device lapic 0xACAC off end
+
+			#register "c1_battery" = "2"	# ACPI(C1) = MWAIT(C2)
+			#register "c2_battery" = "1"	# ACPI(C2) = MWAIT(C1)
+			#register "c3_battery" = "1"	# ACPI(C3) = MWAIT(C1)
+
+			#register "c1_acpower" = "2"	# ACPI(C1) = MWAIT(C2)
+			#register "c2_acpower" = "1"	# ACPI(C2) = MWAIT(C1)
+			#register "c3_acpower" = "1"	# ACPI(C3) = MWAIT(C1)
+		end
+	end
+
+	device domain 0 on
+		subsystemid 0x1458 0x5000 inherit
+		device pci 00.0 on end # host bridge
+		device pci 01.0 off end # PCIe Bridge for discrete graphics
+		device pci 02.0 on end # vga controller
+
+		chip southbridge/intel/bd82x6x # Intel Series 7 Panther Point PCH
+			register "pirqa_routing" = "0x8b"
+			register "pirqb_routing" = "0x8a"
+			register "pirqc_routing" = "0x8b"
+			register "pirqd_routing" = "0x8b"
+			register "pirqe_routing" = "0x80"
+			register "pirqf_routing" = "0x80"
+			register "pirqg_routing" = "0x80"
+			register "pirqh_routing" = "0x80"
+
+			# GPI routing
+			#  0 No effect (default)
+			#  1 SMI# (if corresponding ALT_GPI_SMI_EN bit is also set)
+			#  2 SCI (if corresponding GPIO_EN bit is also set)
+			#register "alt_gp_smi_en" = "0x0002"
+			#register "gpi1_routing" = "1"
+			#register "gpi6_routing" = "2"
+
+			# Set max SATA speed to 3.0 Gb/s
+
+			#BOGUS # Enable EC Port 0x60/0x64
+			#BOGUS register "gen1_dec" = "0x00040061"
+
+			#BOGUS # EC range is 0xa30-0xa3f
+			#BOGUS register "gen2_dec" = "0x003c0a31"
+
+			#?? EC range is 0xYYYY-0xZZZZ
+			#?? register "gen3_dec" = "0x0001C1611"
+
+			# Enable zero-based linear PCIe root port functions
+			register "pcie_port_coalesce" = "1"
+
+			device pci 14.0 on end # USB 3.0 Controller
+			device pci 16.0 on end # Management Engine Interface 1
+			device pci 16.1 off end # Management Engine Interface 2
+			device pci 16.2 off end # Management Engine IDE-R
+			device pci 16.3 off end # Management Engine KT
+			device pci 19.0 off end # Intel Gigabit Ethernet
+			device pci 1a.0 on end # USB2 EHCI #2
+			device pci 1b.0 on end # High Definition Audio
+			device pci 1c.0 on end # PCIe Port #1
+			device pci 1c.1 off end # PCIe Port #2
+			device pci 1c.2 off end # PCIe Port #3
+			device pci 1c.3 off end # PCIe Port #4
+			device pci 1c.4 on end # PCIe Port #5
+			device pci 1c.5 off end # PCIe Port #6
+			device pci 1c.6 off end # PCIe Port #7
+			device pci 1c.7 off end # PCIe Port #8
+			device pci 1d.0 on end # USB2 EHCI #1
+			device pci 1e.0 on end # PCI bridge (ethernet here)
+			device pci 1f.0 on # ISA/LPC bridge
+				chip superio/ite/it8728f
+					device pnp 2e.0 off end # FDC
+					device pnp 2e.1 on # Serial Port 1
+						io 0x60 = 0x3f8
+						irq 0x70 = 4
+					end
+					device pnp 2e.4 on # Environment Controller
+						io 0x60 = 0xa30
+						io 0x62 = 0xa20
+						irq 0x70 = 9
+					end
+					device pnp 2e.7 on # GPIO
+						io 0x60 = 0x0
+						io 0x62 = 0xa00
+					end
+					device pnp 2e.5 on # Keyboard
+						io 0x60 = 0x60
+						io 0x62 = 0x64
+						irq 0x70 = 1
+					end
+					device pnp 2e.6 on # Mouse
+						irq 0x70 = 12
+					end
+					device pnp 2e.a off end # IR
+				end
+			end
+			device pci 1f.2 on end # SATA Controller 1
+			device pci 1f.3 on end # SMBus
+			device pci 1f.5 off end # SATA Controller 2
+			device pci 1f.6 on end # Thermal
+		end
+	end
+end
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/dsdt.asl b/src/mainboard/gigabyte/ga-b75m-d3h/dsdt.asl
new file mode 100644
index 0000000..3209963
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/dsdt.asl
@@ -0,0 +1,54 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ * Copyright (C) 2011 The ChromiumOS Authors.  All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+DefinitionBlock(
+	"dsdt.aml",
+	"DSDT",
+	0x02,       // DSDT revision: ACPI v2.0
+	"COREv4",   // OEM id
+	"COREBOOT", // OEM table id
+	0x20110725  // OEM revision
+)
+{
+	// Some generic macros
+	#include "acpi/platform.asl"
+	#include "acpi/mainboard.asl"
+
+	// global NVS and variables
+	#include <southbridge/intel/bd82x6x/acpi/globalnvs.asl>
+
+	// General Purpose Events
+	//#include "acpi/gpe.asl"
+
+	#include "acpi/thermal.asl"
+
+	#include <cpu/intel/model_206ax/acpi/cpu.asl>
+
+	Scope (\_SB) {
+		Device (PCI0)
+		{
+			#include <northbridge/intel/sandybridge/acpi/sandybridge.asl>
+			#include <southbridge/intel/bd82x6x/acpi/pch.asl>
+		}
+	}
+
+	/* Chipset specific sleep states */
+	#include <southbridge/intel/bd82x6x/acpi/sleepstates.asl>
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/early_smbus.c b/src/mainboard/gigabyte/ga-b75m-d3h/early_smbus.c
new file mode 100644
index 0000000..05db280
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/early_smbus.c
@@ -0,0 +1,62 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2008-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <arch/io.h>
+#include <console/console.h>
+#include <device/pci_ids.h>
+#include <device/pci_def.h>
+#include "pch.h"
+#include "smbus.h"
+
+void enable_smbus(void)
+{
+	device_t dev;
+
+	/* Set the SMBus device statically. */
+	dev = PCI_DEV(0x0, 0x1f, 0x3);
+
+	/* Check to make sure we've got the right device. */
+	if (pci_read_config16(dev, 0x0) != 0x8086) {
+		die("SMBus controller not found!");
+	}
+
+	/* Set SMBus I/O base. */
+	pci_write_config32(dev, SMB_BASE,
+			   SMBUS_IO_BASE | PCI_BASE_ADDRESS_SPACE_IO);
+
+	/* Set SMBus enable. */
+	pci_write_config8(dev, HOSTC, HST_EN);
+
+	/* Set SMBus I/O space enable. */
+	pci_write_config16(dev, PCI_COMMAND, PCI_COMMAND_IO);
+
+	/* Disable interrupt generation. */
+	outb(0, SMBUS_IO_BASE + SMBHSTCTL);
+
+	/* Clear any lingering errors, so transactions can run. */
+	outb(inb(SMBUS_IO_BASE + SMBHSTSTAT), SMBUS_IO_BASE + SMBHSTSTAT);
+	print_debug("SMBus controller enabled.\n");
+}
+
+int smbus_read_byte(unsigned device, unsigned address, unsigned offset)
+{
+	return do_smbus_read_byte(SMBUS_IO_BASE, device, address, offset);
+}
+
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/fadt.c b/src/mainboard/gigabyte/ga-b75m-d3h/fadt.c
new file mode 100644
index 0000000..5ce9ab1
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/fadt.c
@@ -0,0 +1,166 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <string.h>
+#include <device/pci.h>
+#include <arch/acpi.h>
+
+/* FIXME: This needs to go into a separate .h file
+ * to be included by the ich7 smi handler, ich7 smi init
+ * code and the mainboard fadt.
+ */
+#define APM_CNT		0xb2
+#define   CST_CONTROL	0x85
+#define   PST_CONTROL	0x80
+#define   ACPI_DISABLE	0x1e
+#define   ACPI_ENABLE	0xe1
+#define   GNVS_UPDATE   0xea
+
+void acpi_create_fadt(acpi_fadt_t * fadt, acpi_facs_t * facs, void *dsdt)
+{
+	acpi_header_t *header = &(fadt->header);
+	u16 pmbase = pci_read_config16(dev_find_slot(0, PCI_DEVFN(0x1f,0)),
+								0x40) & 0xfffe;
+
+	memset((void *) fadt, 0, sizeof(acpi_fadt_t));
+	memcpy(header->signature, "FACP", 4);
+	header->length = sizeof(acpi_fadt_t);
+	header->revision = 3;
+	memcpy(header->oem_id, OEM_ID, 6);
+	memcpy(header->oem_table_id, ACPI_TABLE_CREATOR, 8);
+	memcpy(header->asl_compiler_id, "CORE", 4);
+	header->asl_compiler_revision = 1;
+
+	fadt->firmware_ctrl = (unsigned long) facs;
+	fadt->dsdt = (unsigned long) dsdt;
+	fadt->model = 1;
+	fadt->preferred_pm_profile = PM_DESKTOP;
+
+	fadt->sci_int = 0x9;
+	fadt->smi_cmd = APM_CNT;
+	fadt->acpi_enable = ACPI_ENABLE;
+	fadt->acpi_disable = ACPI_DISABLE;
+	fadt->s4bios_req = 0x0;
+	fadt->pstate_cnt = 0;
+
+	fadt->pm1a_evt_blk = pmbase;
+	fadt->pm1b_evt_blk = 0x0;
+	fadt->pm1a_cnt_blk = pmbase + 0x4;
+	fadt->pm1b_cnt_blk = 0x0;
+	fadt->pm2_cnt_blk = pmbase + 0x50;
+	fadt->pm_tmr_blk = pmbase + 0x8;
+	fadt->gpe0_blk = pmbase + 0x20;
+	fadt->gpe1_blk = 0;
+
+	fadt->pm1_evt_len = 4;
+	fadt->pm1_cnt_len = 2;
+	fadt->pm2_cnt_len = 1;
+	fadt->pm_tmr_len = 4;
+	fadt->gpe0_blk_len = 16;
+	fadt->gpe1_blk_len = 0;
+	fadt->gpe1_base = 0;
+	fadt->cst_cnt = 0;
+	fadt->p_lvl2_lat = 1;
+	fadt->p_lvl3_lat = 87;
+	fadt->flush_size = 1024;
+	fadt->flush_stride = 16;
+	fadt->duty_offset = 1;
+	fadt->duty_width = 0;
+	fadt->day_alrm = 0xd;
+	fadt->mon_alrm = 0x00;
+	fadt->century = 0x00;
+	fadt->iapc_boot_arch = ACPI_FADT_LEGACY_DEVICES | ACPI_FADT_8042;
+
+	fadt->flags = ACPI_FADT_WBINVD | ACPI_FADT_C1_SUPPORTED |
+			ACPI_FADT_C2_MP_SUPPORTED | ACPI_FADT_SLEEP_BUTTON |
+			ACPI_FADT_RESET_REGISTER | ACPI_FADT_SEALED_CASE |
+			ACPI_FADT_S4_RTC_WAKE | ACPI_FADT_PLATFORM_CLOCK;
+
+	fadt->reset_reg.space_id = 1;
+	fadt->reset_reg.bit_width = 8;
+	fadt->reset_reg.bit_offset = 0;
+	fadt->reset_reg.resv = 0;
+	fadt->reset_reg.addrl = 0xcf9;
+	fadt->reset_reg.addrh = 0;
+
+	fadt->reset_value = 6;
+	fadt->x_firmware_ctl_l = (unsigned long)facs;
+	fadt->x_firmware_ctl_h = 0;
+	fadt->x_dsdt_l = (unsigned long)dsdt;
+	fadt->x_dsdt_h = 0;
+
+	fadt->x_pm1a_evt_blk.space_id = 1;
+	fadt->x_pm1a_evt_blk.bit_width = 32;
+	fadt->x_pm1a_evt_blk.bit_offset = 0;
+	fadt->x_pm1a_evt_blk.resv = 0;
+	fadt->x_pm1a_evt_blk.addrl = pmbase;
+	fadt->x_pm1a_evt_blk.addrh = 0x0;
+
+	fadt->x_pm1b_evt_blk.space_id = 1;
+	fadt->x_pm1b_evt_blk.bit_width = 0;
+	fadt->x_pm1b_evt_blk.bit_offset = 0;
+	fadt->x_pm1b_evt_blk.resv = 0;
+	fadt->x_pm1b_evt_blk.addrl = 0x0;
+	fadt->x_pm1b_evt_blk.addrh = 0x0;
+
+	fadt->x_pm1a_cnt_blk.space_id = 1;
+	fadt->x_pm1a_cnt_blk.bit_width = 16;
+	fadt->x_pm1a_cnt_blk.bit_offset = 0;
+	fadt->x_pm1a_cnt_blk.resv = 0;
+	fadt->x_pm1a_cnt_blk.addrl = pmbase + 0x4;
+	fadt->x_pm1a_cnt_blk.addrh = 0x0;
+
+	fadt->x_pm1b_cnt_blk.space_id = 1;
+	fadt->x_pm1b_cnt_blk.bit_width = 0;
+	fadt->x_pm1b_cnt_blk.bit_offset = 0;
+	fadt->x_pm1b_cnt_blk.resv = 0;
+	fadt->x_pm1b_cnt_blk.addrl = 0x0;
+	fadt->x_pm1b_cnt_blk.addrh = 0x0;
+
+	fadt->x_pm2_cnt_blk.space_id = 1;
+	fadt->x_pm2_cnt_blk.bit_width = 8;
+	fadt->x_pm2_cnt_blk.bit_offset = 0;
+	fadt->x_pm2_cnt_blk.resv = 0;
+	fadt->x_pm2_cnt_blk.addrl = pmbase + 0x50;
+	fadt->x_pm2_cnt_blk.addrh = 0x0;
+
+	fadt->x_pm_tmr_blk.space_id = 1;
+	fadt->x_pm_tmr_blk.bit_width = 32;
+	fadt->x_pm_tmr_blk.bit_offset = 0;
+	fadt->x_pm_tmr_blk.resv = 0;
+	fadt->x_pm_tmr_blk.addrl = pmbase + 0x8;
+	fadt->x_pm_tmr_blk.addrh = 0x0;
+
+	fadt->x_gpe0_blk.space_id = 1;
+	fadt->x_gpe0_blk.bit_width = 64;
+	fadt->x_gpe0_blk.bit_offset = 0;
+	fadt->x_gpe0_blk.resv = 0;
+	fadt->x_gpe0_blk.addrl = pmbase + 0x20;
+	fadt->x_gpe0_blk.addrh = 0x0;
+
+	fadt->x_gpe1_blk.space_id = 1;
+	fadt->x_gpe1_blk.bit_width = 0;
+	fadt->x_gpe1_blk.bit_offset = 0;
+	fadt->x_gpe1_blk.resv = 0;
+	fadt->x_gpe1_blk.addrl = 0x0;
+	fadt->x_gpe1_blk.addrh = 0x0;
+
+	header->checksum =
+	    acpi_checksum((void *) fadt, header->length);
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/gpio.h b/src/mainboard/gigabyte/ga-b75m-d3h/gpio.h
new file mode 100644
index 0000000..8d74974
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/gpio.h
@@ -0,0 +1,272 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011 The Chromium OS Authors. All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#ifndef B75MD3V_GPIO_H
+#define B75MD3V_GPIO_H
+
+#include "southbridge/intel/bd82x6x/gpio.h"
+
+const struct pch_gpio_set1 pch_gpio_set1_mode = {
+	.gpio0  = GPIO_MODE_GPIO,	/*1GPIO0 */
+	.gpio1  = GPIO_MODE_NATIVE,	/*0SIO_EXT_SMI# */
+	.gpio2  = GPIO_MODE_GPIO,	/*1NOT USED */
+	.gpio3  = GPIO_MODE_GPIO,	/*1NOT USED */
+	.gpio4  = GPIO_MODE_GPIO,	/*1NOT USED */
+	.gpio5  = GPIO_MODE_NATIVE,	/*0INTH# */
+	.gpio6  = GPIO_MODE_NATIVE,	/*0SIO_EXT_SCI# */
+	.gpio7  = GPIO_MODE_GPIO,	/*1GE_SCR_WP# */
+	.gpio8  = GPIO_MODE_NATIVE,	/*0NOT USED */
+	.gpio9  = GPIO_MODE_GPIO,	/*1USB_OC5# */
+	.gpio10 = GPIO_MODE_GPIO,	/*1USB_OC6# */
+	.gpio11 = GPIO_MODE_NATIVE,	/*0SMBALERT# */
+	.gpio12 = GPIO_MODE_GPIO,	/*1GPIO12 */
+	.gpio13 = GPIO_MODE_NATIVE,	/*0GPIO13 */
+	.gpio14 = GPIO_MODE_GPIO,	/*1USB_OC7# */
+	.gpio15 = GPIO_MODE_GPIO,	/*1GPIO15 */
+	.gpio16 = GPIO_MODE_GPIO,	/*1WWAN_LED_ON */
+	.gpio17 = GPIO_MODE_NATIVE,	/*0WLAN_LED_ON */
+	.gpio18 = GPIO_MODE_GPIO,	/*1PCIE_CLKREQ_WLAN# */
+	.gpio19 = GPIO_MODE_GPIO,	/*1BBS_BIT0 */
+	.gpio20 = GPIO_MODE_NATIVE,  	/*0PCIE_CLKREQ_CARD# */
+	.gpio21 = GPIO_MODE_NATIVE,	/*0BT_DET# / TP29 */
+	.gpio22 = GPIO_MODE_NATIVE,	/*0MODEL_ID0 */
+	.gpio23 = GPIO_MODE_GPIO,	/*1LCD_BK_OFF */
+	.gpio24 = GPIO_MODE_GPIO,	/*1GPIO24 */
+	.gpio25 = GPIO_MODE_GPIO,	/*1PCIE_REQ_WWAN# / TP89 */
+	.gpio26 = GPIO_MODE_GPIO,	/*1CLK_PCIE_REQ4# / TP59 */
+	.gpio27 = GPIO_MODE_GPIO,	/*1MSATA_DTCT# */
+	.gpio28 = GPIO_MODE_GPIO,	/*1PLL_ODVR_EN */
+	.gpio29 = GPIO_MODE_GPIO,	/*1WLAN_AOAC_ON */
+	.gpio30 = GPIO_MODE_GPIO,	/*1SUS_PWR_ACK */
+	.gpio31 = GPIO_MODE_GPIO,	/*1AC_PRESENT */
+};
+
+const struct pch_gpio_set1 pch_gpio_set1_direction = {
+	/*
+	 * Note: Only gpio configured as "gpio" or "none" need to have the
+	 *       direction configured.
+	 */
+	.gpio0  = GPIO_DIR_INPUT,
+	.gpio1  = GPIO_DIR_OUTPUT,
+	.gpio2  = GPIO_DIR_INPUT,
+	.gpio3  = GPIO_DIR_OUTPUT,
+	.gpio4  = GPIO_DIR_INPUT,
+	.gpio5  = GPIO_DIR_INPUT,
+	.gpio6  = GPIO_DIR_INPUT,
+	.gpio7  = GPIO_DIR_OUTPUT,
+	.gpio8  = GPIO_DIR_INPUT,
+	.gpio9  = GPIO_DIR_INPUT,
+	.gpio10 = GPIO_DIR_INPUT,
+	.gpio11 = GPIO_DIR_INPUT,
+	.gpio12 = GPIO_DIR_INPUT,
+	.gpio13 = GPIO_DIR_INPUT,
+	.gpio14 = GPIO_DIR_INPUT,
+	.gpio15 = GPIO_DIR_INPUT,
+	.gpio16 = GPIO_DIR_OUTPUT,
+	.gpio17 = GPIO_DIR_INPUT,
+	.gpio18 = GPIO_DIR_INPUT,
+	.gpio19 = GPIO_DIR_OUTPUT,
+	.gpio20 = GPIO_DIR_INPUT,
+	.gpio21 = GPIO_DIR_INPUT,
+	.gpio22 = GPIO_DIR_INPUT,
+	.gpio23 = GPIO_DIR_OUTPUT,
+	.gpio24 = GPIO_DIR_INPUT,
+	.gpio25 = GPIO_DIR_INPUT,
+	.gpio26 = GPIO_DIR_INPUT,
+	.gpio27 = GPIO_DIR_INPUT,
+	.gpio28 = GPIO_DIR_INPUT,
+	.gpio29 = GPIO_DIR_INPUT,
+	.gpio30 = GPIO_DIR_INPUT,
+	.gpio31 = GPIO_DIR_INPUT,
+};
+
+const struct pch_gpio_set1 pch_gpio_set1_level = {
+	/*
+	 * Note: Only gpio configured as "gpio" or "none" need to have the
+	 *       level set.
+	 */
+	.gpio0  = GPIO_LEVEL_HIGH,
+	.gpio1  = GPIO_LEVEL_HIGH,
+	.gpio2  = GPIO_LEVEL_HIGH,
+	.gpio3  = GPIO_LEVEL_LOW,
+	.gpio4  = GPIO_LEVEL_HIGH,
+	.gpio5  = GPIO_LEVEL_LOW,
+	.gpio6  = GPIO_LEVEL_LOW,
+	.gpio7  = GPIO_LEVEL_LOW,
+	.gpio8  = GPIO_LEVEL_HIGH,
+	.gpio9  = GPIO_LEVEL_HIGH,
+	.gpio10 = GPIO_LEVEL_HIGH,
+	.gpio11 = GPIO_LEVEL_HIGH,
+	.gpio12 = GPIO_LEVEL_HIGH,
+	.gpio13 = GPIO_LEVEL_LOW,
+	.gpio14 = GPIO_LEVEL_HIGH,
+	.gpio15 = GPIO_LEVEL_HIGH,
+	.gpio16 = GPIO_LEVEL_LOW,
+	.gpio17 = GPIO_LEVEL_HIGH,
+	.gpio18 = GPIO_LEVEL_HIGH,
+	.gpio19 = GPIO_LEVEL_HIGH,
+	.gpio20 = GPIO_LEVEL_HIGH,
+	.gpio21 = GPIO_LEVEL_HIGH,
+	.gpio22 = GPIO_LEVEL_HIGH,
+	.gpio23 = GPIO_LEVEL_HIGH,
+	.gpio24 = GPIO_LEVEL_HIGH,
+	.gpio25 = GPIO_LEVEL_HIGH,
+	.gpio26 = GPIO_LEVEL_HIGH,
+	.gpio27 = GPIO_LEVEL_HIGH,
+	.gpio28 = GPIO_LEVEL_HIGH,
+	.gpio29 = GPIO_LEVEL_HIGH,
+	.gpio30 = GPIO_LEVEL_HIGH,
+	.gpio31 = GPIO_LEVEL_HIGH,
+};
+
+const struct pch_gpio_set1 pch_gpio_set1_invert = {
+	.gpio16 = GPIO_INVERT,
+};
+
+const struct pch_gpio_set2 pch_gpio_set2_mode = {
+	.gpio32 = GPIO_MODE_NATIVE, /* PCI_CLKRUN# */
+	.gpio33 = GPIO_MODE_NATIVE, /* GPIO33 */
+	.gpio34 = GPIO_MODE_NATIVE, /* CCD_ON */
+	.gpio35 = GPIO_MODE_NATIVE, /* BT_ON */
+	.gpio36 = GPIO_MODE_NATIVE, /* NOT USED */
+	.gpio37 = GPIO_MODE_NATIVE, /* NOT USED */
+	.gpio38 = GPIO_MODE_GPIO,   /* NOT USED */
+	.gpio39 = GPIO_MODE_NATIVE, /* NOT USED */
+	.gpio40 = GPIO_MODE_NATIVE, /* USB_OC1# */
+	.gpio41 = GPIO_MODE_NATIVE, /* USB_OC2# */
+	.gpio42 = GPIO_MODE_NATIVE, /* USB_OC3# */
+	.gpio43 = GPIO_MODE_NATIVE, /* USB_OC4_AUO4# */
+	.gpio44 = GPIO_MODE_NATIVE, /* PCIE_CLKREQ_LAN# */
+	.gpio45 = GPIO_MODE_NATIVE, /* PCIECLKRQ6# / TP48 */
+	.gpio46 = GPIO_MODE_GPIO,   /* PCIECLKRQ7# / TP57 */
+	.gpio47 = GPIO_MODE_GPIO,   /* CLK_PEGA_REQ# */
+	.gpio48 = GPIO_MODE_NATIVE, /* DIS_BT_ON# */
+	.gpio49 = GPIO_MODE_NATIVE, /* GPIO49 */
+	.gpio50 = GPIO_MODE_NATIVE, /* PCI_REQ1# */
+	.gpio51 = GPIO_MODE_NATIVE, /* BBS_BIT1 */
+	.gpio52 = GPIO_MODE_NATIVE, /* PCI_REQ2# */
+	.gpio53 = GPIO_MODE_NATIVE, /* PWM_SELECT# / TP44 */
+	.gpio54 = GPIO_MODE_NATIVE, /* PCI_REQ3# */
+	.gpio55 = GPIO_MODE_NATIVE, /* PCI_GNT3# */
+	.gpio56 = GPIO_MODE_GPIO,   /* CLK_PEGB_REQ# / TP60 */
+	.gpio57 = GPIO_MODE_GPIO,   /* PCH_GPIO57 */
+	.gpio58 = GPIO_MODE_GPIO,   /* SMB_ME1_CLK */
+	.gpio59 = GPIO_MODE_GPIO,   /* USB_OC0_1# */
+	.gpio60 = GPIO_MODE_GPIO,   /* DRAMRST_CNTRL_PCH */
+	.gpio61 = GPIO_MODE_GPIO,   /* LPCPD# */
+	.gpio62 = GPIO_MODE_GPIO,   /* PCH_SUSCLK_L / TP54 */
+	.gpio63 = GPIO_MODE_GPIO,   /* TP51 */
+};
+
+const struct pch_gpio_set2 pch_gpio_set2_direction = {
+	/*
+	 * Note: Only gpio configured as "gpio" or "none" need to have the
+	 *       direction configured.
+	 */
+	.gpio38 = GPIO_DIR_INPUT,
+
+	.gpio46 = GPIO_DIR_INPUT,
+	.gpio47 = GPIO_DIR_INPUT,
+
+	.gpio56 = GPIO_DIR_INPUT,
+	.gpio57 = GPIO_DIR_INPUT,
+	.gpio58 = GPIO_DIR_INPUT,
+	.gpio59 = GPIO_DIR_INPUT,
+	.gpio60 = GPIO_DIR_OUTPUT,
+	.gpio61 = GPIO_DIR_INPUT,
+	.gpio62 = GPIO_DIR_OUTPUT,
+	.gpio63 = GPIO_DIR_OUTPUT,
+};
+
+const struct pch_gpio_set2 pch_gpio_set2_level = {
+	/*
+	 * Note: Only gpio configured as "gpio" or "none" need to have the
+	 *       level set.
+	 */
+	.gpio38 = GPIO_LEVEL_LOW,
+
+	.gpio46 = GPIO_LEVEL_HIGH,
+	.gpio47 = GPIO_LEVEL_HIGH,
+
+	.gpio56 = GPIO_LEVEL_HIGH,
+	.gpio57 = GPIO_LEVEL_HIGH,
+	.gpio58 = GPIO_LEVEL_LOW,
+	.gpio59 = GPIO_LEVEL_LOW,
+	.gpio60 = GPIO_LEVEL_LOW,
+	.gpio61 = GPIO_LEVEL_HIGH,
+	.gpio62 = GPIO_LEVEL_HIGH,
+	.gpio63 = GPIO_LEVEL_HIGH,
+};
+
+const struct pch_gpio_set3 pch_gpio_set3_mode = {
+	.gpio64 = GPIO_MODE_NATIVE,	/* CLK_FLEX0 / TP38 */
+	.gpio65 = GPIO_MODE_NATIVE,	/* CLK_FLEX1 / TP45 */
+	.gpio66 = GPIO_MODE_NATIVE,	/* CLK_FLEX2 / TP83 */
+	.gpio67 = GPIO_MODE_GPIO,	/* CLK_FLEX3 / TP82 */
+	.gpio68 = GPIO_MODE_NATIVE,	/* WWAN_DTCT# */
+	.gpio69 = GPIO_MODE_NATIVE,	/* GPIO69 */
+	.gpio70 = GPIO_MODE_GPIO,	/* WLAN_OFF# */
+	.gpio71 = GPIO_MODE_GPIO,	/* WWAN_OFF# */
+	.gpio72 = GPIO_MODE_NATIVE,	/* PM_BATLOW# */
+	.gpio73 = GPIO_MODE_NATIVE,	/* PCIECLKRQ0# / TP39 */
+	.gpio74 = GPIO_MODE_NATIVE,	/* SML1ALERT#_R / TP56 */
+	.gpio75 = GPIO_MODE_NATIVE,	/* SMB_ME1_DAT */
+};
+
+const struct pch_gpio_set3 pch_gpio_set3_direction = {
+	/*
+	 * Note: Only gpio configured as "gpio" or "none" need to have the
+	 *       direction configured.
+	 */
+	.gpio67 = GPIO_DIR_INPUT,
+
+	.gpio70 = GPIO_DIR_INPUT,
+	.gpio71 = GPIO_DIR_INPUT,
+};
+
+const struct pch_gpio_set3 pch_gpio_set3_level = {
+	/*
+	 * Note: Only gpio configured as "gpio" or "none" need to have the
+	 *       level set.
+	 */
+	.gpio67 = GPIO_LEVEL_HIGH,
+
+	.gpio70 = GPIO_LEVEL_LOW,
+	.gpio71 = GPIO_LEVEL_HIGH,
+};
+
+const struct pch_gpio_map b75md3v_gpio_map = {
+	.set1 = {
+		.mode      = &pch_gpio_set1_mode,
+		.direction = &pch_gpio_set1_direction,
+		.level     = &pch_gpio_set1_level,
+		.invert    = &pch_gpio_set1_invert,
+	},
+	.set2 = {
+		.mode      = &pch_gpio_set2_mode,
+		.direction = &pch_gpio_set2_direction,
+		.level     = &pch_gpio_set2_level,
+	},
+	.set3 = {
+		.mode      = &pch_gpio_set3_mode,
+		.direction = &pch_gpio_set3_direction,
+		.level     = &pch_gpio_set3_level,
+	},
+};
+#endif
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/i915.c b/src/mainboard/gigabyte/ga-b75m-d3h/i915.c
new file mode 100644
index 0000000..8b15bd0
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/i915.c
@@ -0,0 +1,279 @@
+#include <types.h>
+#include <string.h>
+#include <device/device.h>
+#include <device/device.h>
+#include <device/pci_def.h>
+#include <device/pci_ops.h>
+#include <console/console.h>
+#include <delay.h>
+#if defined(CONFIG_PCI_OPTION_ROM_RUN_YABEL)&&CONFIG_PCI_OPTION_ROM_RUN_YABEL
+#include <x86emu/x86emu.h>
+#endif
+#include <pc80/mc146818rtc.h>
+#include <arch/acpi.h>
+#include <arch/io.h>
+#include <arch/interrupt.h>
+#include <boot/coreboot_tables.h>
+#include "onboard.h"
+#include <southbridge/intel/bd82x6x/pch.h>
+#include <smbios.h>
+#include <device/pci.h>
+#include <cbfs_core.h>
+
+#include <cpu/x86/tsc.h>
+#include <cpu/x86/cache.h>
+#include <cpu/x86/mtrr.h>
+#include <cpu/x86/msr.h>
+#include "i915_reg.h"
+
+enum {
+	vmsg = 1, vio = 2, vspin = 4,
+};
+
+static int verbose = 0; //vmsg ;
+
+static unsigned int *mmio;
+static unsigned int graphics;
+static unsigned short addrport;
+static unsigned short dataport;
+static unsigned int physbase;
+extern int oprom_is_loaded;
+
+#define READ32(addr) io_i915_READ32(addr)
+#define WRITE32(val, addr) io_i915_WRITE32(val, addr)
+
+static unsigned long io_i915_READ32(unsigned long addr)
+{
+       unsigned long val;
+       outl(addr, addrport);
+       val = inl(dataport);
+       return val;
+}
+
+static void io_i915_WRITE32(unsigned long val, unsigned long addr)
+{
+       outl(addr, addrport);
+       outl(val, dataport);
+}
+
+
+/*
+2560
+4 words per
+4 *p
+10240
+4k bytes per page
+4096/p
+2.50
+1700 lines
+1700 * p
+4250.00
+PTEs
+*/
+static void
+setgtt(int start, int end, unsigned long base, int inc)
+{
+        int i;
+
+	for(i = start; i < end; i++){
+                u32 word = base + i*inc;
+                WRITE32(word|1,(i*4)|1);
+        }
+}
+
+static char *regname(unsigned long addr)
+{
+	static char name[16];
+	sprintf(name, "0x%lx", addr);
+	return name;
+}
+
+static unsigned long tickspermicrosecond = 1795;
+static unsigned long long globalstart;
+
+static unsigned long
+microseconds(unsigned long long start, unsigned long long end)
+{
+	unsigned long ret;
+	ret = ((end - start)/tickspermicrosecond);
+	return ret;
+}
+
+static unsigned long globalmicroseconds(void)
+{
+	return microseconds(globalstart, rdtscll());
+}
+
+/* One-letter commands for code not mean to be ready for humans.
+ * The code was generated by a set of programs/scripts.
+ * M print out a kernel message
+ * R read a register. We do these mainly to ensure that if hardware wanted
+ * the register read, it was read; also, in debug, we can see what was expected
+ * and what was found. This has proven *very* useful to get this debugged.
+ * The udelay, if non-zero, will make sure there is a
+ * udelay() call with the value.
+ * The count is from the kernel and tells us how many times this read was done.
+ * Also useful for debugging and the state
+ * machine uses the info to drive a poll.
+ * W Write a register
+ * V set verbosity. It's a bit mask.
+ *   0 -> nothing
+ *   1 -> print kernel messages
+ *   2 -> print IO ops
+ *   4 -> print the number of times we spin on a register in a poll
+ *   8 -> restore whatever the previous verbosity level was
+ *   		(only one deep stack)
+ *
+ * Again, this is not really meant for human consumption. There is not a poll
+ * operator as such because, sometimes, there is a read/write/read where the
+ * second read is a poll, and this chipset is so touchy I'm reluctant to move
+ * things around and/or delete too many reads.
+ */
+#define M 1
+#define R 2
+#define W 3
+#define V 4
+#define I 8
+
+struct iodef {
+	unsigned char op;
+	unsigned int count;
+	const char *msg;
+	unsigned long addr;
+	unsigned long data;
+	unsigned long udelay;
+} iodefs[] = {
+#include "i915io.c"
+};
+
+static int i915_init_done = 0;
+
+int vbe_mode_info_valid(void);
+int vbe_mode_info_valid(void)
+{
+	return i915_init_done;
+}
+
+void fill_lb_framebuffer(struct lb_framebuffer *framebuffer);
+void fill_lb_framebuffer(struct lb_framebuffer *framebuffer)
+{
+	printk(BIOS_SPEW, "fill_lb_framebuffer: graphics is %p\n", (void *)graphics);
+	framebuffer->physical_address = graphics;
+	framebuffer->x_resolution = 2560;
+	framebuffer->y_resolution = 1700;
+	framebuffer->bytes_per_line = 10240;
+	framebuffer->bits_per_pixel = 32;
+	framebuffer->red_mask_pos = 16;
+	framebuffer->red_mask_size = 8;
+	framebuffer->green_mask_pos = 8;
+	framebuffer->green_mask_size = 8;
+	framebuffer->blue_mask_pos = 0;
+	framebuffer->blue_mask_size = 8;
+	framebuffer->reserved_mask_pos = 0;
+	framebuffer->reserved_mask_size = 0;
+
+}
+
+int i915lightup(unsigned int physbase, unsigned int iobase, unsigned int mmio,
+	unsigned int gfx);
+
+int i915lightup(unsigned int pphysbase,
+	unsigned int piobase,
+	unsigned int pmmio,
+	unsigned int pgfx)
+{
+	int i, prev = 0;
+	struct iodef *id, *lastidread = 0;
+	unsigned long u, t;
+	static unsigned long times[4096];
+	mmio = (void *)pmmio;
+	addrport = piobase;
+	dataport = addrport + 4;
+	physbase = pphysbase;
+	graphics = pgfx;
+	printk(BIOS_SPEW,
+		"i915lightup: graphics %p mmio %p"
+		"addrport %04x physbase %08x\n",
+			(void *)graphics, mmio, addrport, physbase);
+	globalstart = rdtscll();
+
+	/* state machine! */
+	for(i = 0, id = iodefs; i < ARRAY_SIZE(iodefs); i++, id++){
+		switch(id->op){
+		case M:
+			if (verbose & vmsg) printk(BIOS_SPEW, "%ld: %s\n",
+				globalmicroseconds(), id->msg);
+			break;
+		case R:
+			u = READ32(id->addr);
+			if (verbose & vio)printk(BIOS_SPEW, "%s: Got %08lx, expect %08lx\n",
+				regname(id->addr), u, id->data);
+			/* we're looking for something. */
+			if (lastidread->addr == id->addr){
+				/* they're going to be polling.
+				 * just do it 1000 times
+				 */
+				for(t = 0; t < 1000 && id->data != u; t++){
+					u = READ32(id->addr);
+				}
+				if (verbose & vspin) printk(BIOS_SPEW,
+						"%s: # loops %ld got %08lx want %08lx\n",
+						regname(id->addr),
+						t, u, id->data);
+			}
+			lastidread = id;
+			break;
+		case W:
+			if (verbose & vio)printk(BIOS_SPEW, "%s: outl %08lx\n", regname(id->addr),
+									id->data);
+			WRITE32(id->data, id->addr);
+			if (id->addr == PCH_PP_CONTROL){
+				switch(id->data & 0xf){
+					case 8: break;
+					case 7: break;
+					default: udelay(100000);
+				}
+			}
+			break;
+		case V:
+			if (id->count < 8){
+				prev = verbose;
+				verbose = id->count;
+			} else {
+				verbose = prev;
+			}
+			break;
+		case I:
+			break;
+		default:
+			printk(BIOS_SPEW, "BAD TABLE, opcode %d @ %d\n", id->op, i);
+			return -1;
+		}
+		if (id->udelay)
+			udelay(id->udelay);
+		times[i] = globalmicroseconds();
+	}
+	/* optional, we don't even want to take timestamp overhead
+	 * if we can avoid it. */
+	if (0)
+	for(i = 0, id = iodefs; i < ARRAY_SIZE(iodefs); i++, id++){
+		switch(id->op){
+		case R:
+			printk(BIOS_SPEW, "%ld: R %08lx\n", times[i], id->addr);
+			break;
+		case W:
+			printk(BIOS_SPEW, "%ld: W %08lx %08lx\n", times[i],
+				id->addr, id->data);
+			break;
+		}
+	}
+
+	setgtt(0, 4520, physbase, 4096);
+	printk(BIOS_SPEW, "memset %p to 0 for %d bytes\n",
+				(void *)graphics, 4520*4096);
+	memset((void *)graphics, 0, 4520*4096);
+	printk(BIOS_SPEW, "%ld microseconds\n", globalmicroseconds());
+	i915_init_done = 1;
+	oprom_is_loaded = 1;
+	return 0;
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/i915_reg.h b/src/mainboard/gigabyte/ga-b75m-d3h/i915_reg.h
new file mode 100644
index 0000000..11c198a
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/i915_reg.h
@@ -0,0 +1,3878 @@
+/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
+ * All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a
+ * copy of this software and associated documentation files (the
+ * "Software"), to deal in the Software without restriction, including
+ * without limitation the rights to use, copy, modify, merge, publish,
+ * distribute, sub license, and/or sell copies of the Software, and to
+ * permit persons to whom the Software is furnished to do so, subject to
+ * the following conditions:
+ *
+ * The above copyright notice and this permission notice (including the
+ * next paragraph) shall be included in all copies or substantial portions
+ * of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
+ * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
+ * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
+ * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
+ * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
+ * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
+ * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ */
+
+#ifndef _I915_REG_H_
+#define _I915_REG_H_
+
+#define _PIPE(pipe, a, b) ((a) + (pipe)*((b)-(a)))
+
+/*
+ * The Bridge device's PCI config space has information about the
+ * fb aperture size and the amount of pre-reserved memory.
+ * This is all handled in the intel-gtt.ko module. i915.ko only
+ * cares about the vga bit for the vga rbiter.
+ */
+#define INTEL_GMCH_CTRL		0x52
+#define INTEL_GMCH_VGA_DISABLE  (1 << 1)
+
+/* PCI config space */
+
+#define HPLLCC	0xc0 /* 855 only */
+#define   GC_CLOCK_CONTROL_MASK		(0xf << 0)
+#define   GC_CLOCK_133_200		(0 << 0)
+#define   GC_CLOCK_100_200		(1 << 0)
+#define   GC_CLOCK_100_133		(2 << 0)
+#define   GC_CLOCK_166_250		(3 << 0)
+#define GCFGC2	0xda
+#define GCFGC	0xf0 /* 915+ only */
+#define   GC_LOW_FREQUENCY_ENABLE	(1 << 7)
+#define   GC_DISPLAY_CLOCK_190_200_MHZ	(0 << 4)
+#define   GC_DISPLAY_CLOCK_333_MHZ	(4 << 4)
+#define   GC_DISPLAY_CLOCK_MASK		(7 << 4)
+#define   GM45_GC_RENDER_CLOCK_MASK	(0xf << 0)
+#define   GM45_GC_RENDER_CLOCK_266_MHZ	(8 << 0)
+#define   GM45_GC_RENDER_CLOCK_320_MHZ	(9 << 0)
+#define   GM45_GC_RENDER_CLOCK_400_MHZ	(0xb << 0)
+#define   GM45_GC_RENDER_CLOCK_533_MHZ	(0xc << 0)
+#define   I965_GC_RENDER_CLOCK_MASK	(0xf << 0)
+#define   I965_GC_RENDER_CLOCK_267_MHZ	(2 << 0)
+#define   I965_GC_RENDER_CLOCK_333_MHZ	(3 << 0)
+#define   I965_GC_RENDER_CLOCK_444_MHZ	(4 << 0)
+#define   I965_GC_RENDER_CLOCK_533_MHZ	(5 << 0)
+#define   I945_GC_RENDER_CLOCK_MASK	(7 << 0)
+#define   I945_GC_RENDER_CLOCK_166_MHZ	(0 << 0)
+#define   I945_GC_RENDER_CLOCK_200_MHZ	(1 << 0)
+#define   I945_GC_RENDER_CLOCK_250_MHZ	(3 << 0)
+#define   I945_GC_RENDER_CLOCK_400_MHZ	(5 << 0)
+#define   I915_GC_RENDER_CLOCK_MASK	(7 << 0)
+#define   I915_GC_RENDER_CLOCK_166_MHZ	(0 << 0)
+#define   I915_GC_RENDER_CLOCK_200_MHZ	(1 << 0)
+#define   I915_GC_RENDER_CLOCK_333_MHZ	(4 << 0)
+#define LBB	0xf4
+
+/* Graphics reset regs */
+#define I965_GDRST 0xc0 /* PCI config register */
+#define ILK_GDSR 0x2ca4 /* MCHBAR offset */
+#define  GRDOM_FULL	(0<<2)
+#define  GRDOM_RENDER	(1<<2)
+#define  GRDOM_MEDIA	(3<<2)
+
+#define GEN6_MBCUNIT_SNPCR	0x900c /* for LLC config */
+#define   GEN6_MBC_SNPCR_SHIFT	21
+#define   GEN6_MBC_SNPCR_MASK	(3<<21)
+#define   GEN6_MBC_SNPCR_MAX	(0<<21)
+#define   GEN6_MBC_SNPCR_MED	(1<<21)
+#define   GEN6_MBC_SNPCR_LOW	(2<<21)
+#define   GEN6_MBC_SNPCR_MIN	(3<<21) /* only 1/16th of the cache is shared */
+
+#define GEN6_MBCTL		0x0907c
+#define   GEN6_MBCTL_ENABLE_BOOT_FETCH	(1 << 4)
+#define   GEN6_MBCTL_CTX_FETCH_NEEDED	(1 << 3)
+#define   GEN6_MBCTL_BME_UPDATE_ENABLE	(1 << 2)
+#define   GEN6_MBCTL_MAE_UPDATE_ENABLE	(1 << 1)
+#define   GEN6_MBCTL_BOOT_FETCH_MECH	(1 << 0)
+
+#define GEN6_GDRST	0x941c
+#define  GEN6_GRDOM_FULL		(1 << 0)
+#define  GEN6_GRDOM_RENDER		(1 << 1)
+#define  GEN6_GRDOM_MEDIA		(1 << 2)
+#define  GEN6_GRDOM_BLT			(1 << 3)
+
+/* PPGTT stuff */
+#define GEN6_GTT_ADDR_ENCODE(addr)	((addr) | (((addr) >> 28) & 0xff0))
+
+#define GEN6_PDE_VALID			(1 << 0)
+#define GEN6_PDE_LARGE_PAGE		(2 << 0) /* use 32kb pages */
+/* gen6+ has bit 11-4 for physical addr bit 39-32 */
+#define GEN6_PDE_ADDR_ENCODE(addr)	GEN6_GTT_ADDR_ENCODE(addr)
+
+#define GEN6_PTE_VALID			(1 << 0)
+#define GEN6_PTE_UNCACHED		(1 << 1)
+#define GEN6_PTE_CACHE_LLC		(2 << 1)
+#define GEN6_PTE_CACHE_LLC_MLC		(3 << 1)
+#define GEN6_PTE_CACHE_BITS		(3 << 1)
+#define GEN6_PTE_GFDT			(1 << 3)
+#define GEN6_PTE_ADDR_ENCODE(addr)	GEN6_GTT_ADDR_ENCODE(addr)
+
+#define RING_PP_DIR_BASE(ring)		((ring)->mmio_base+0x228)
+#define RING_PP_DIR_BASE_READ(ring)	((ring)->mmio_base+0x518)
+#define RING_PP_DIR_DCLV(ring)		((ring)->mmio_base+0x220)
+#define   PP_DIR_DCLV_2G		0xffffffff
+
+#define GAM_ECOCHK			0x4090
+#define   ECOCHK_SNB_BIT		(1<<10)
+#define   ECOCHK_PPGTT_CACHE64B		(0x3<<3)
+#define   ECOCHK_PPGTT_CACHE4B		(0x0<<3)
+
+/* VGA stuff */
+
+#define VGA_ST01_MDA 0x3ba
+#define VGA_ST01_CGA 0x3da
+
+#define VGA_MSR_WRITE 0x3c2
+#define VGA_MSR_READ 0x3cc
+#define   VGA_MSR_MEM_EN (1<<1)
+#define   VGA_MSR_CGA_MODE (1<<0)
+
+#define VGA_SR_INDEX 0x3c4
+#define VGA_SR_DATA 0x3c5
+
+#define VGA_AR_INDEX 0x3c0
+#define   VGA_AR_VID_EN (1<<5)
+#define VGA_AR_DATA_WRITE 0x3c0
+#define VGA_AR_DATA_READ 0x3c1
+
+#define VGA_GR_INDEX 0x3ce
+#define VGA_GR_DATA 0x3cf
+/* GR05 */
+#define   VGA_GR_MEM_READ_MODE_SHIFT 3
+#define     VGA_GR_MEM_READ_MODE_PLANE 1
+/* GR06 */
+#define   VGA_GR_MEM_MODE_MASK 0xc
+#define   VGA_GR_MEM_MODE_SHIFT 2
+#define   VGA_GR_MEM_A0000_AFFFF 0
+#define   VGA_GR_MEM_A0000_BFFFF 1
+#define   VGA_GR_MEM_B0000_B7FFF 2
+#define   VGA_GR_MEM_B0000_BFFFF 3
+
+#define VGA_DACMASK 0x3c6
+#define VGA_DACRX 0x3c7
+#define VGA_DACWX 0x3c8
+#define VGA_DACDATA 0x3c9
+
+#define VGA_CR_INDEX_MDA 0x3b4
+#define VGA_CR_DATA_MDA 0x3b5
+#define VGA_CR_INDEX_CGA 0x3d4
+#define VGA_CR_DATA_CGA 0x3d5
+
+/*
+ * Memory interface instructions used by the kernel
+ */
+#define MI_INSTR(opcode, flags) (((opcode) << 23) | (flags))
+
+#define MI_NOOP			MI_INSTR(0, 0)
+#define MI_USER_INTERRUPT	MI_INSTR(0x02, 0)
+#define MI_WAIT_FOR_EVENT       MI_INSTR(0x03, 0)
+#define   MI_WAIT_FOR_OVERLAY_FLIP	(1<<16)
+#define   MI_WAIT_FOR_PLANE_B_FLIP      (1<<6)
+#define   MI_WAIT_FOR_PLANE_A_FLIP      (1<<2)
+#define   MI_WAIT_FOR_PLANE_A_SCANLINES (1<<1)
+#define MI_FLUSH		MI_INSTR(0x04, 0)
+#define   MI_READ_FLUSH		(1 << 0)
+#define   MI_EXE_FLUSH		(1 << 1)
+#define   MI_NO_WRITE_FLUSH	(1 << 2)
+#define   MI_SCENE_COUNT	(1 << 3) /* just increment scene count */
+#define   MI_END_SCENE		(1 << 4) /* flush binner and incr scene count */
+#define   MI_INVALIDATE_ISP	(1 << 5) /* invalidate indirect state pointers */
+#define MI_BATCH_BUFFER_END	MI_INSTR(0x0a, 0)
+#define MI_SUSPEND_FLUSH	MI_INSTR(0x0b, 0)
+#define   MI_SUSPEND_FLUSH_EN	(1<<0)
+#define MI_REPORT_HEAD		MI_INSTR(0x07, 0)
+#define MI_OVERLAY_FLIP		MI_INSTR(0x11, 0)
+#define   MI_OVERLAY_CONTINUE	(0x0<<21)
+#define   MI_OVERLAY_ON		(0x1<<21)
+#define   MI_OVERLAY_OFF	(0x2<<21)
+#define MI_LOAD_SCAN_LINES_INCL MI_INSTR(0x12, 0)
+#define MI_DISPLAY_FLIP		MI_INSTR(0x14, 2)
+#define MI_DISPLAY_FLIP_I915	MI_INSTR(0x14, 1)
+#define   MI_DISPLAY_FLIP_PLANE(n) ((n) << 20)
+#define MI_SET_CONTEXT		MI_INSTR(0x18, 0)
+#define   MI_MM_SPACE_GTT		(1<<8)
+#define   MI_MM_SPACE_PHYSICAL		(0<<8)
+#define   MI_SAVE_EXT_STATE_EN		(1<<3)
+#define   MI_RESTORE_EXT_STATE_EN	(1<<2)
+#define   MI_FORCE_RESTORE		(1<<1)
+#define   MI_RESTORE_INHIBIT		(1<<0)
+#define MI_STORE_DWORD_IMM	MI_INSTR(0x20, 1)
+#define   MI_MEM_VIRTUAL	(1 << 22) /* 965+ only */
+#define MI_STORE_DWORD_INDEX	MI_INSTR(0x21, 1)
+#define   MI_STORE_DWORD_INDEX_SHIFT 2
+/* Official intel docs are somewhat sloppy concerning MI_LOAD_REGISTER_IMM:
+ * - Always issue a MI_NOOP _before_ the MI_LOAD_REGISTER_IMM - otherwise hw
+ *   simply ignores the register load under certain conditions.
+ * - One can actually load arbitrary many arbitrary registers: Simply issue x
+ *   address/value pairs. Don't overdue it, though, x <= 2^4 must hold!
+ */
+#define MI_LOAD_REGISTER_IMM(x)	MI_INSTR(0x22, 2*x-1)
+#define MI_FLUSH_DW		MI_INSTR(0x26, 1) /* for GEN6 */
+#define   MI_INVALIDATE_TLB	(1<<18)
+#define   MI_INVALIDATE_BSD	(1<<7)
+#define MI_BATCH_BUFFER		MI_INSTR(0x30, 1)
+#define   MI_BATCH_NON_SECURE	(1)
+#define   MI_BATCH_NON_SECURE_I965 (1<<8)
+#define MI_BATCH_BUFFER_START	MI_INSTR(0x31, 0)
+#define MI_SEMAPHORE_MBOX	MI_INSTR(0x16, 1) /* gen6+ */
+#define  MI_SEMAPHORE_GLOBAL_GTT    (1<<22)
+#define  MI_SEMAPHORE_UPDATE	    (1<<21)
+#define  MI_SEMAPHORE_COMPARE	    (1<<20)
+#define  MI_SEMAPHORE_REGISTER	    (1<<18)
+#define  MI_SEMAPHORE_SYNC_RV	    (2<<16)
+#define  MI_SEMAPHORE_SYNC_RB	    (0<<16)
+#define  MI_SEMAPHORE_SYNC_VR	    (0<<16)
+#define  MI_SEMAPHORE_SYNC_VB	    (2<<16)
+#define  MI_SEMAPHORE_SYNC_BR	    (2<<16)
+#define  MI_SEMAPHORE_SYNC_BV	    (0<<16)
+#define  MI_SEMAPHORE_SYNC_INVALID  (1<<0)
+/*
+ * 3D instructions used by the kernel
+ */
+#define GFX_INSTR(opcode, flags) ((0x3 << 29) | ((opcode) << 24) | (flags))
+
+#define GFX_OP_RASTER_RULES    ((0x3<<29)|(0x7<<24))
+#define GFX_OP_SCISSOR         ((0x3<<29)|(0x1c<<24)|(0x10<<19))
+#define   SC_UPDATE_SCISSOR       (0x1<<1)
+#define   SC_ENABLE_MASK          (0x1<<0)
+#define   SC_ENABLE               (0x1<<0)
+#define GFX_OP_LOAD_INDIRECT   ((0x3<<29)|(0x1d<<24)|(0x7<<16))
+#define GFX_OP_SCISSOR_INFO    ((0x3<<29)|(0x1d<<24)|(0x81<<16)|(0x1))
+#define   SCI_YMIN_MASK      (0xffff<<16)
+#define   SCI_XMIN_MASK      (0xffff<<0)
+#define   SCI_YMAX_MASK      (0xffff<<16)
+#define   SCI_XMAX_MASK      (0xffff<<0)
+#define GFX_OP_SCISSOR_ENABLE	 ((0x3<<29)|(0x1c<<24)|(0x10<<19))
+#define GFX_OP_SCISSOR_RECT	 ((0x3<<29)|(0x1d<<24)|(0x81<<16)|1)
+#define GFX_OP_COLOR_FACTOR      ((0x3<<29)|(0x1d<<24)|(0x1<<16)|0x0)
+#define GFX_OP_STIPPLE           ((0x3<<29)|(0x1d<<24)|(0x83<<16))
+#define GFX_OP_MAP_INFO          ((0x3<<29)|(0x1d<<24)|0x4)
+#define GFX_OP_DESTBUFFER_VARS   ((0x3<<29)|(0x1d<<24)|(0x85<<16)|0x0)
+#define GFX_OP_DESTBUFFER_INFO	 ((0x3<<29)|(0x1d<<24)|(0x8e<<16)|1)
+#define GFX_OP_DRAWRECT_INFO     ((0x3<<29)|(0x1d<<24)|(0x80<<16)|(0x3))
+#define GFX_OP_DRAWRECT_INFO_I965  ((0x7900<<16)|0x2)
+#define SRC_COPY_BLT_CMD                ((2<<29)|(0x43<<22)|4)
+#define XY_SRC_COPY_BLT_CMD		((2<<29)|(0x53<<22)|6)
+#define XY_MONO_SRC_COPY_IMM_BLT	((2<<29)|(0x71<<22)|5)
+#define XY_SRC_COPY_BLT_WRITE_ALPHA	(1<<21)
+#define XY_SRC_COPY_BLT_WRITE_RGB	(1<<20)
+#define   BLT_DEPTH_8			(0<<24)
+#define   BLT_DEPTH_16_565		(1<<24)
+#define   BLT_DEPTH_16_1555		(2<<24)
+#define   BLT_DEPTH_32			(3<<24)
+#define   BLT_ROP_GXCOPY		(0xcc<<16)
+#define XY_SRC_COPY_BLT_SRC_TILED	(1<<15) /* 965+ only */
+#define XY_SRC_COPY_BLT_DST_TILED	(1<<11) /* 965+ only */
+#define CMD_OP_DISPLAYBUFFER_INFO ((0x0<<29)|(0x14<<23)|2)
+#define   ASYNC_FLIP                (1<<22)
+#define   DISPLAY_PLANE_A           (0<<20)
+#define   DISPLAY_PLANE_B           (1<<20)
+#define GFX_OP_PIPE_CONTROL(len)	((0x3<<29)|(0x3<<27)|(0x2<<24)|(len-2))
+#define   PIPE_CONTROL_CS_STALL				(1<<20)
+#define   PIPE_CONTROL_QW_WRITE				(1<<14)
+#define   PIPE_CONTROL_DEPTH_STALL			(1<<13)
+#define   PIPE_CONTROL_WRITE_FLUSH			(1<<12)
+#define   PIPE_CONTROL_RENDER_TARGET_CACHE_FLUSH	(1<<12) /* gen6+ */
+#define   PIPE_CONTROL_INSTRUCTION_CACHE_INVALIDATE	(1<<11) /* MBZ on Ironlake */
+#define   PIPE_CONTROL_TEXTURE_CACHE_INVALIDATE		(1<<10) /* GM45+ only */
+#define   PIPE_CONTROL_INDIRECT_STATE_DISABLE		(1<<9)
+#define   PIPE_CONTROL_NOTIFY				(1<<8)
+#define   PIPE_CONTROL_VF_CACHE_INVALIDATE		(1<<4)
+#define   PIPE_CONTROL_CONST_CACHE_INVALIDATE		(1<<3)
+#define   PIPE_CONTROL_STATE_CACHE_INVALIDATE		(1<<2)
+#define   PIPE_CONTROL_STALL_AT_SCOREBOARD		(1<<1)
+#define   PIPE_CONTROL_DEPTH_CACHE_FLUSH		(1<<0)
+#define   PIPE_CONTROL_GLOBAL_GTT (1<<2) /* in addr dword */
+
+
+/*
+ * Reset registers
+ */
+#define DEBUG_RESET_I830		0x6070
+#define  DEBUG_RESET_FULL		(1<<7)
+#define  DEBUG_RESET_RENDER		(1<<8)
+#define  DEBUG_RESET_DISPLAY		(1<<9)
+
+
+/*
+ * Fence registers
+ */
+#define FENCE_REG_830_0			0x2000
+#define FENCE_REG_945_8			0x3000
+#define   I830_FENCE_START_MASK		0x07f80000
+#define   I830_FENCE_TILING_Y_SHIFT	12
+#define   I830_FENCE_SIZE_BITS(size)	((ffs((size) >> 19) - 1) << 8)
+#define   I830_FENCE_PITCH_SHIFT	4
+#define   I830_FENCE_REG_VALID		(1<<0)
+#define   I915_FENCE_MAX_PITCH_VAL	4
+#define   I830_FENCE_MAX_PITCH_VAL	6
+#define   I830_FENCE_MAX_SIZE_VAL	(1<<8)
+
+#define   I915_FENCE_START_MASK		0x0ff00000
+#define   I915_FENCE_SIZE_BITS(size)	((ffs((size) >> 20) - 1) << 8)
+
+#define FENCE_REG_965_0			0x03000
+#define   I965_FENCE_PITCH_SHIFT	2
+#define   I965_FENCE_TILING_Y_SHIFT	1
+#define   I965_FENCE_REG_VALID		(1<<0)
+#define   I965_FENCE_MAX_PITCH_VAL	0x0400
+
+#define FENCE_REG_SANDYBRIDGE_0		0x100000
+#define   SANDYBRIDGE_FENCE_PITCH_SHIFT	32
+
+/* control register for cpu gtt access */
+#define TILECTL				0x101000
+#define   TILECTL_SWZCTL			(1 << 0)
+#define   TILECTL_TLB_PREFETCH_DIS	(1 << 2)
+#define   TILECTL_BACKSNOOP_DIS		(1 << 3)
+
+/*
+ * Instruction and interrupt control regs
+ */
+#define PGTBL_ER	0x02024
+#define RENDER_RING_BASE	0x02000
+#define BSD_RING_BASE		0x04000
+#define GEN6_BSD_RING_BASE	0x12000
+#define BLT_RING_BASE		0x22000
+#define RING_TAIL(base)		((base)+0x30)
+#define RING_HEAD(base)		((base)+0x34)
+#define RING_START(base)	((base)+0x38)
+#define RING_CTL(base)		((base)+0x3c)
+#define RING_SYNC_0(base)	((base)+0x40)
+#define RING_SYNC_1(base)	((base)+0x44)
+#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
+#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
+#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
+#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
+#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
+#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
+#define RING_MAX_IDLE(base)	((base)+0x54)
+#define RING_HWS_PGA(base)	((base)+0x80)
+#define RING_HWS_PGA_GEN6(base)	((base)+0x2080)
+#define ARB_MODE		0x04030
+#define   ARB_MODE_SWIZZLE_SNB	(1<<4)
+#define   ARB_MODE_SWIZZLE_IVB	(1<<5)
+#define   ARB_MODE_ENABLE(x)	GFX_MODE_ENABLE(x)
+#define   ARB_MODE_DISABLE(x)	GFX_MODE_DISABLE(x)
+#define RENDER_HWS_PGA_GEN7	(0x04080)
+#define RING_FAULT_REG(ring)	(0x4094 + 0x100*(ring)->id)
+#define DONE_REG		0x40b0
+#define BSD_HWS_PGA_GEN7	(0x04180)
+#define BLT_HWS_PGA_GEN7	(0x04280)
+#define RING_ACTHD(base)	((base)+0x74)
+#define RING_NOPID(base)	((base)+0x94)
+#define RING_IMR(base)		((base)+0xa8)
+#define   TAIL_ADDR		0x001FFFF8
+#define   HEAD_WRAP_COUNT	0xFFE00000
+#define   HEAD_WRAP_ONE		0x00200000
+#define   HEAD_ADDR		0x001FFFFC
+#define   RING_NR_PAGES		0x001FF000
+#define   RING_REPORT_MASK	0x00000006
+#define   RING_REPORT_64K	0x00000002
+#define   RING_REPORT_128K	0x00000004
+#define   RING_NO_REPORT	0x00000000
+#define   RING_VALID_MASK	0x00000001
+#define   RING_VALID		0x00000001
+#define   RING_INVALID		0x00000000
+#define   RING_WAIT_I8XX	(1<<0) /* gen2, PRBx_HEAD */
+#define   RING_WAIT		(1<<11) /* gen3+, PRBx_CTL */
+#define   RING_WAIT_SEMAPHORE	(1<<10) /* gen6+ */
+#define PRB0_TAIL	0x02030
+#define PRB0_HEAD	0x02034
+#define PRB0_START	0x02038
+#define PRB0_CTL	0x0203c
+#if 0
+#define PRB1_TAIL	0x02040 /* 915+ only */
+#define PRB1_HEAD	0x02044 /* 915+ only */
+#define PRB1_START	0x02048 /* 915+ only */
+#define PRB1_CTL	0x0204c /* 915+ only */
+#endif
+#define IPEIR_I965	0x02064
+#define IPEHR_I965	0x02068
+#define INSTDONE_I965	0x0206c
+#define RING_IPEIR(base)	((base)+0x64)
+#define RING_IPEHR(base)	((base)+0x68)
+#define RING_INSTDONE(base)	((base)+0x6c)
+#define RING_INSTPS(base)	((base)+0x70)
+#define RING_DMA_FADD(base)	((base)+0x78)
+#define RING_INSTPM(base)	((base)+0xc0)
+#define INSTPS		0x02070 /* 965+ only */
+#define INSTDONE1	0x0207c /* 965+ only */
+#define ACTHD_I965	0x02074
+#define HWS_PGA		0x02080
+#define HWS_ADDRESS_MASK	0xfffff000
+#define HWS_START_ADDRESS_SHIFT	4
+#define PWRCTXA		0x2088 /* 965GM+ only */
+#define   PWRCTX_EN	(1<<0)
+#define IPEIR		0x02088
+#define IPEHR		0x0208c
+#define INSTDONE	0x02090
+#define NOPID		0x02094
+#define HWSTAM		0x02098
+
+#define ERROR_GEN6	0x040a0
+
+/* GM45+ chicken bits -- debug workaround bits that may be required
+ * for various sorts of correct behavior.  The top 16 bits of each are
+ * the enables for writing to the corresponding low bit.
+ */
+#define _3D_CHICKEN	0x02084
+#define _3D_CHICKEN2	0x0208c
+/* Disables pipelining of read flushes past the SF-WIZ interface.
+ * Required on all Ironlake steppings according to the B-Spec, but the
+ * particular danger of not doing so is not specified.
+ */
+# define _3D_CHICKEN2_WM_READ_PIPELINED			(1 << 14)
+#define _3D_CHICKEN3	0x02090
+
+#define MI_MODE		0x0209c
+# define VS_TIMER_DISPATCH				(1 << 6)
+# define MI_FLUSH_ENABLE				(1 << 12)
+
+#define GFX_MODE	0x02520
+#define GFX_MODE_GEN7	0x0229c
+#define RING_MODE_GEN7(ring)	((ring)->mmio_base+0x29c)
+#define   GFX_RUN_LIST_ENABLE		(1<<15)
+#define   GFX_TLB_INVALIDATE_ALWAYS	(1<<13)
+#define   GFX_SURFACE_FAULT_ENABLE	(1<<12)
+#define   GFX_REPLAY_MODE		(1<<11)
+#define   GFX_PSMI_GRANULARITY		(1<<10)
+#define   GFX_PPGTT_ENABLE		(1<<9)
+
+#define GFX_MODE_ENABLE(bit) (((bit) << 16) | (bit))
+#define GFX_MODE_DISABLE(bit) (((bit) << 16) | (0))
+
+#define SCPD0		0x0209c /* 915+ only */
+#define IER		0x020a0
+#define IIR		0x020a4
+#define IMR		0x020a8
+#define ISR		0x020ac
+#define   I915_PIPE_CONTROL_NOTIFY_INTERRUPT		(1<<18)
+#define   I915_DISPLAY_PORT_INTERRUPT			(1<<17)
+#define   I915_RENDER_COMMAND_PARSER_ERROR_INTERRUPT	(1<<15)
+#define   I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT	(1<<14) /* p-state */
+#define   I915_HWB_OOM_INTERRUPT			(1<<13)
+#define   I915_SYNC_STATUS_INTERRUPT			(1<<12)
+#define   I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT	(1<<11)
+#define   I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT	(1<<10)
+#define   I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT	(1<<9)
+#define   I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT	(1<<8)
+#define   I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT		(1<<7)
+#define   I915_DISPLAY_PIPE_A_EVENT_INTERRUPT		(1<<6)
+#define   I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT		(1<<5)
+#define   I915_DISPLAY_PIPE_B_EVENT_INTERRUPT		(1<<4)
+#define   I915_DEBUG_INTERRUPT				(1<<2)
+#define   I915_USER_INTERRUPT				(1<<1)
+#define   I915_ASLE_INTERRUPT				(1<<0)
+#define   I915_BSD_USER_INTERRUPT                      (1<<25)
+#define EIR		0x020b0
+#define EMR		0x020b4
+#define ESR		0x020b8
+#define   GM45_ERROR_PAGE_TABLE				(1<<5)
+#define   GM45_ERROR_MEM_PRIV				(1<<4)
+#define   I915_ERROR_PAGE_TABLE				(1<<4)
+#define   GM45_ERROR_CP_PRIV				(1<<3)
+#define   I915_ERROR_MEMORY_REFRESH			(1<<1)
+#define   I915_ERROR_INSTRUCTION			(1<<0)
+#define INSTPM	        0x020c0
+#define   INSTPM_SELF_EN (1<<12) /* 915GM only */
+#define   INSTPM_AGPBUSY_DIS (1<<11) /* gen3: when disabled, pending interrupts
+					will not assert AGPBUSY# and will only
+					be delivered when out of C3. */
+#define   INSTPM_FORCE_ORDERING				(1<<7) /* GEN6+ */
+#define ACTHD	        0x020c8
+#define FW_BLC		0x020d8
+#define FW_BLC2		0x020dc
+#define FW_BLC_SELF	0x020e0 /* 915+ only */
+#define   FW_BLC_SELF_EN_MASK      (1<<31)
+#define   FW_BLC_SELF_FIFO_MASK    (1<<16) /* 945 only */
+#define   FW_BLC_SELF_EN           (1<<15) /* 945 only */
+#define MM_BURST_LENGTH     0x00700000
+#define MM_FIFO_WATERMARK   0x0001F000
+#define LM_BURST_LENGTH     0x00000700
+#define LM_FIFO_WATERMARK   0x0000001F
+#define MI_ARB_STATE	0x020e4 /* 915+ only */
+#define   MI_ARB_MASK_SHIFT	  16	/* shift for enable bits */
+
+/* Make render/texture TLB fetches lower priorty than associated data
+ *   fetches. This is not turned on by default
+ */
+#define   MI_ARB_RENDER_TLB_LOW_PRIORITY	(1 << 15)
+
+/* Isoch request wait on GTT enable (Display A/B/C streams).
+ * Make isoch requests stall on the TLB update. May cause
+ * display underruns (test mode only)
+ */
+#define   MI_ARB_ISOCH_WAIT_GTT			(1 << 14)
+
+/* Block grant count for isoch requests when block count is
+ * set to a finite value.
+ */
+#define   MI_ARB_BLOCK_GRANT_MASK		(3 << 12)
+#define   MI_ARB_BLOCK_GRANT_8			(0 << 12)	/* for 3 display planes */
+#define   MI_ARB_BLOCK_GRANT_4			(1 << 12)	/* for 2 display planes */
+#define   MI_ARB_BLOCK_GRANT_2			(2 << 12)	/* for 1 display plane */
+#define   MI_ARB_BLOCK_GRANT_0			(3 << 12)	/* don't use */
+
+/* Enable render writes to complete in C2/C3/C4 power states.
+ * If this isn't enabled, render writes are prevented in low
+ * power states. That seems bad to me.
+ */
+#define   MI_ARB_C3_LP_WRITE_ENABLE		(1 << 11)
+
+/* This acknowledges an async flip immediately instead
+ * of waiting for 2TLB fetches.
+ */
+#define   MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE	(1 << 10)
+
+/* Enables non-sequential data reads through arbiter
+ */
+#define   MI_ARB_DUAL_DATA_PHASE_DISABLE	(1 << 9)
+
+/* Disable FSB snooping of cacheable write cycles from binner/render
+ * command stream
+ */
+#define   MI_ARB_CACHE_SNOOP_DISABLE		(1 << 8)
+
+/* Arbiter time slice for non-isoch streams */
+#define   MI_ARB_TIME_SLICE_MASK		(7 << 5)
+#define   MI_ARB_TIME_SLICE_1			(0 << 5)
+#define   MI_ARB_TIME_SLICE_2			(1 << 5)
+#define   MI_ARB_TIME_SLICE_4			(2 << 5)
+#define   MI_ARB_TIME_SLICE_6			(3 << 5)
+#define   MI_ARB_TIME_SLICE_8			(4 << 5)
+#define   MI_ARB_TIME_SLICE_10			(5 << 5)
+#define   MI_ARB_TIME_SLICE_14			(6 << 5)
+#define   MI_ARB_TIME_SLICE_16			(7 << 5)
+
+/* Low priority grace period page size */
+#define   MI_ARB_LOW_PRIORITY_GRACE_4KB		(0 << 4)	/* default */
+#define   MI_ARB_LOW_PRIORITY_GRACE_8KB		(1 << 4)
+
+/* Disable display A/B trickle feed */
+#define   MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE	(1 << 2)
+
+/* Set display plane priority */
+#define   MI_ARB_DISPLAY_PRIORITY_A_B		(0 << 0)	/* display A > display B */
+#define   MI_ARB_DISPLAY_PRIORITY_B_A		(1 << 0)	/* display B > display A */
+
+#define CACHE_MODE_0	0x02120 /* 915+ only */
+#define   CM0_MASK_SHIFT          16
+#define   CM0_IZ_OPT_DISABLE      (1<<6)
+#define   CM0_ZR_OPT_DISABLE      (1<<5)
+#define	  CM0_STC_EVICT_DISABLE_LRA_SNB	(1<<5)
+#define   CM0_DEPTH_EVICT_DISABLE (1<<4)
+#define   CM0_COLOR_EVICT_DISABLE (1<<3)
+#define   CM0_DEPTH_WRITE_DISABLE (1<<1)
+#define   CM0_RC_OP_FLUSH_DISABLE (1<<0)
+#define BB_ADDR		0x02140 /* 8 bytes */
+#define GFX_FLSH_CNTL	0x02170 /* 915+ only */
+#define ECOSKPD		0x021d0
+#define   ECO_GATING_CX_ONLY	(1<<3)
+#define   ECO_FLIP_DONE		(1<<0)
+
+/* GEN6 interrupt control */
+#define GEN6_RENDER_HWSTAM	0x2098
+#define GEN6_RENDER_IMR		0x20a8
+#define   GEN6_RENDER_CONTEXT_SWITCH_INTERRUPT		(1 << 8)
+#define   GEN6_RENDER_PPGTT_PAGE_FAULT			(1 << 7)
+#define   GEN6_RENDER_TIMEOUT_COUNTER_EXPIRED		(1 << 6)
+#define   GEN6_RENDER_L3_PARITY_ERROR			(1 << 5)
+#define   GEN6_RENDER_PIPE_CONTROL_NOTIFY_INTERRUPT	(1 << 4)
+#define   GEN6_RENDER_COMMAND_PARSER_MASTER_ERROR	(1 << 3)
+#define   GEN6_RENDER_SYNC_STATUS			(1 << 2)
+#define   GEN6_RENDER_DEBUG_INTERRUPT			(1 << 1)
+#define   GEN6_RENDER_USER_INTERRUPT			(1 << 0)
+
+#define GEN6_BLITTER_HWSTAM	0x22098
+#define GEN6_BLITTER_IMR	0x220a8
+#define   GEN6_BLITTER_MI_FLUSH_DW_NOTIFY_INTERRUPT	(1 << 26)
+#define   GEN6_BLITTER_COMMAND_PARSER_MASTER_ERROR	(1 << 25)
+#define   GEN6_BLITTER_SYNC_STATUS			(1 << 24)
+#define   GEN6_BLITTER_USER_INTERRUPT			(1 << 22)
+
+#define GEN6_BLITTER_ECOSKPD	0x221d0
+#define   GEN6_BLITTER_LOCK_SHIFT			16
+#define   GEN6_BLITTER_FBC_NOTIFY			(1<<3)
+
+#define GEN6_BSD_SLEEP_PSMI_CONTROL	0x12050
+#define   GEN6_BSD_SLEEP_PSMI_CONTROL_RC_ILDL_MESSAGE_MODIFY_MASK	(1 << 16)
+#define   GEN6_BSD_SLEEP_PSMI_CONTROL_RC_ILDL_MESSAGE_DISABLE		(1 << 0)
+#define   GEN6_BSD_SLEEP_PSMI_CONTROL_RC_ILDL_MESSAGE_ENABLE		0
+#define   GEN6_BSD_SLEEP_PSMI_CONTROL_IDLE_INDICATOR			(1 << 3)
+
+#define GEN6_BSD_HWSTAM			0x12098
+#define GEN6_BSD_IMR			0x120a8
+#define   GEN6_BSD_USER_INTERRUPT	(1 << 12)
+
+#define GEN6_BSD_RNCID			0x12198
+
+/*
+ * Framebuffer compression (915+ only)
+ */
+
+#define FBC_CFB_BASE		0x03200 /* 4k page aligned */
+#define FBC_LL_BASE		0x03204 /* 4k page aligned */
+#define FBC_CONTROL		0x03208
+#define   FBC_CTL_EN		(1<<31)
+#define   FBC_CTL_PERIODIC	(1<<30)
+#define   FBC_CTL_INTERVAL_SHIFT (16)
+#define   FBC_CTL_UNCOMPRESSIBLE (1<<14)
+#define   FBC_CTL_C3_IDLE	(1<<13)
+#define   FBC_CTL_STRIDE_SHIFT	(5)
+#define   FBC_CTL_FENCENO	(1<<0)
+#define FBC_COMMAND		0x0320c
+#define   FBC_CMD_COMPRESS	(1<<0)
+#define FBC_STATUS		0x03210
+#define   FBC_STAT_COMPRESSING	(1<<31)
+#define   FBC_STAT_COMPRESSED	(1<<30)
+#define   FBC_STAT_MODIFIED	(1<<29)
+#define   FBC_STAT_CURRENT_LINE	(1<<0)
+#define FBC_CONTROL2		0x03214
+#define   FBC_CTL_FENCE_DBL	(0<<4)
+#define   FBC_CTL_IDLE_IMM	(0<<2)
+#define   FBC_CTL_IDLE_FULL	(1<<2)
+#define   FBC_CTL_IDLE_LINE	(2<<2)
+#define   FBC_CTL_IDLE_DEBUG	(3<<2)
+#define   FBC_CTL_CPU_FENCE	(1<<1)
+#define   FBC_CTL_PLANEA	(0<<0)
+#define   FBC_CTL_PLANEB	(1<<0)
+#define FBC_FENCE_OFF		0x0321b
+#define FBC_TAG			0x03300
+
+#define FBC_LL_SIZE		(1536)
+
+/* Framebuffer compression for GM45+ */
+#define DPFC_CB_BASE		0x3200
+#define DPFC_CONTROL		0x3208
+#define   DPFC_CTL_EN		(1<<31)
+#define   DPFC_CTL_PLANEA	(0<<30)
+#define   DPFC_CTL_PLANEB	(1<<30)
+#define   DPFC_CTL_FENCE_EN	(1<<29)
+#define   DPFC_CTL_PERSISTENT_MODE	(1<<25)
+#define   DPFC_SR_EN		(1<<10)
+#define   DPFC_CTL_LIMIT_1X	(0<<6)
+#define   DPFC_CTL_LIMIT_2X	(1<<6)
+#define   DPFC_CTL_LIMIT_4X	(2<<6)
+#define DPFC_RECOMP_CTL		0x320c
+#define   DPFC_RECOMP_STALL_EN	(1<<27)
+#define   DPFC_RECOMP_STALL_WM_SHIFT (16)
+#define   DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
+#define   DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
+#define   DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
+#define DPFC_STATUS		0x3210
+#define   DPFC_INVAL_SEG_SHIFT  (16)
+#define   DPFC_INVAL_SEG_MASK	(0x07ff0000)
+#define   DPFC_COMP_SEG_SHIFT	(0)
+#define   DPFC_COMP_SEG_MASK	(0x000003ff)
+#define DPFC_STATUS2		0x3214
+#define DPFC_FENCE_YOFF		0x3218
+#define DPFC_CHICKEN		0x3224
+#define   DPFC_HT_MODIFY	(1<<31)
+
+/* Framebuffer compression for Ironlake */
+#define ILK_DPFC_CB_BASE	0x43200
+#define ILK_DPFC_CONTROL	0x43208
+/* The bit 28-8 is reserved */
+#define   DPFC_RESERVED		(0x1FFFFF00)
+#define ILK_DPFC_RECOMP_CTL	0x4320c
+#define ILK_DPFC_STATUS		0x43210
+#define ILK_DPFC_FENCE_YOFF	0x43218
+#define ILK_DPFC_CHICKEN	0x43224
+#define ILK_FBC_RT_BASE		0x2128
+#define   ILK_FBC_RT_VALID	(1<<0)
+
+#define ILK_DISPLAY_CHICKEN1	0x42000
+#define   ILK_FBCQ_DIS		(1<<22)
+#define	  ILK_PABSTRETCH_DIS	(1<<21)
+
+
+/*
+ * Framebuffer compression for Sandybridge
+ *
+ * The following two registers are of type GTTMMADR
+ */
+#define SNB_DPFC_CTL_SA		0x100100
+#define   SNB_CPU_FENCE_ENABLE	(1<<29)
+#define DPFC_CPU_FENCE_OFFSET	0x100104
+
+
+/*
+ * GPIO regs
+ */
+#define GPIOA			0x5010
+#define GPIOB			0x5014
+#define GPIOC			0x5018
+#define GPIOD			0x501c
+#define GPIOE			0x5020
+#define GPIOF			0x5024
+#define GPIOG			0x5028
+#define GPIOH			0x502c
+# define GPIO_CLOCK_DIR_MASK		(1 << 0)
+# define GPIO_CLOCK_DIR_IN		(0 << 1)
+# define GPIO_CLOCK_DIR_OUT		(1 << 1)
+# define GPIO_CLOCK_VAL_MASK		(1 << 2)
+# define GPIO_CLOCK_VAL_OUT		(1 << 3)
+# define GPIO_CLOCK_VAL_IN		(1 << 4)
+# define GPIO_CLOCK_PULLUP_DISABLE	(1 << 5)
+# define GPIO_DATA_DIR_MASK		(1 << 8)
+# define GPIO_DATA_DIR_IN		(0 << 9)
+# define GPIO_DATA_DIR_OUT		(1 << 9)
+# define GPIO_DATA_VAL_MASK		(1 << 10)
+# define GPIO_DATA_VAL_OUT		(1 << 11)
+# define GPIO_DATA_VAL_IN		(1 << 12)
+# define GPIO_DATA_PULLUP_DISABLE	(1 << 13)
+
+#define GMBUS0			0x5100 /* clock/port select */
+#define   GMBUS_RATE_100KHZ	(0<<8)
+#define   GMBUS_RATE_50KHZ	(1<<8)
+#define   GMBUS_RATE_400KHZ	(2<<8) /* reserved on Pineview */
+#define   GMBUS_RATE_1MHZ	(3<<8) /* reserved on Pineview */
+#define   GMBUS_RATE_MASK	(3<<8)
+#define   GMBUS_HOLD_EXT	(1<<7) /* 300ns hold time, rsvd on Pineview */
+#define   GMBUS_PORT_DISABLED	0
+#define   GMBUS_PORT_SSC	1
+#define   GMBUS_PORT_VGADDC	2
+#define   GMBUS_PORT_PANEL	3
+#define   GMBUS_PORT_DPC	4 /* HDMIC */
+#define   GMBUS_PORT_DPB	5 /* SDVO, HDMIB */
+#define   GMBUS_PORT_DPD	6 /* HDMID */
+#define   GMBUS_PORT_RESERVED	7 /* 7 reserved */
+#define   GMBUS_NUM_PORTS	(GMBUS_PORT_DPD - GMBUS_PORT_SSC + 1)
+#define   GMBUS_PORT_MASK	7
+#define GMBUS1			0x5104 /* command/status */
+#define   GMBUS_SW_CLR_INT	(1<<31)
+#define   GMBUS_SW_RDY		(1<<30)
+#define   GMBUS_ENT		(1<<29) /* enable timeout */
+#define   GMBUS_CYCLE_NONE	(0<<25)
+#define   GMBUS_CYCLE_WAIT	(1<<25)
+#define   GMBUS_CYCLE_INDEX	(2<<25)
+#define   GMBUS_CYCLE_STOP	(4<<25)
+#define   GMBUS_BYTE_COUNT_SHIFT 16
+#define   GMBUS_SLAVE_INDEX_SHIFT 8
+#define   GMBUS_SLAVE_ADDR_SHIFT 1
+#define   GMBUS_SLAVE_READ	(1<<0)
+#define   GMBUS_SLAVE_WRITE	(0<<0)
+#define GMBUS2			0x5108 /* status */
+#define   GMBUS_INUSE		(1<<15)
+#define   GMBUS_HW_WAIT_PHASE	(1<<14)
+#define   GMBUS_STALL_TIMEOUT	(1<<13)
+#define   GMBUS_INT		(1<<12)
+#define   GMBUS_HW_RDY		(1<<11)
+#define   GMBUS_SATOER		(1<<10)
+#define   GMBUS_ACTIVE		(1<<9)
+#define GMBUS3			0x510c /* data buffer bytes 3-0 */
+#define GMBUS4			0x5110 /* interrupt mask (Pineview+) */
+#define   GMBUS_SLAVE_TIMEOUT_EN (1<<4)
+#define   GMBUS_NAK_EN		(1<<3)
+#define   GMBUS_IDLE_EN		(1<<2)
+#define   GMBUS_HW_WAIT_EN	(1<<1)
+#define   GMBUS_HW_RDY_EN	(1<<0)
+#define GMBUS5			0x5120 /* byte index */
+#define   GMBUS_2BYTE_INDEX_EN	(1<<31)
+
+/*
+ * Clock control & power management
+ */
+
+#define VGA0	0x6000
+#define VGA1	0x6004
+#define VGA_PD	0x6010
+#define   VGA0_PD_P2_DIV_4	(1 << 7)
+#define   VGA0_PD_P1_DIV_2	(1 << 5)
+#define   VGA0_PD_P1_SHIFT	0
+#define   VGA0_PD_P1_MASK	(0x1f << 0)
+#define   VGA1_PD_P2_DIV_4	(1 << 15)
+#define   VGA1_PD_P1_DIV_2	(1 << 13)
+#define   VGA1_PD_P1_SHIFT	8
+#define   VGA1_PD_P1_MASK	(0x1f << 8)
+#define _DPLL_A	0x06014
+#define _DPLL_B	0x06018
+#define DPLL(pipe) _PIPE(pipe, _DPLL_A, _DPLL_B)
+#define   DPLL_VCO_ENABLE		(1 << 31)
+#define   DPLL_DVO_HIGH_SPEED		(1 << 30)
+#define   DPLL_SYNCLOCK_ENABLE		(1 << 29)
+#define   DPLL_VGA_MODE_DIS		(1 << 28)
+#define   DPLLB_MODE_DAC_SERIAL		(1 << 26) /* i915 */
+#define   DPLLB_MODE_LVDS		(2 << 26) /* i915 */
+#define   DPLL_MODE_MASK		(3 << 26)
+#define   DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
+#define   DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
+#define   DPLLB_LVDS_P2_CLOCK_DIV_14	(0 << 24) /* i915 */
+#define   DPLLB_LVDS_P2_CLOCK_DIV_7	(1 << 24) /* i915 */
+#define   DPLL_P2_CLOCK_DIV_MASK	0x03000000 /* i915 */
+#define   DPLL_FPA01_P1_POST_DIV_MASK	0x00ff0000 /* i915 */
+#define   DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW	0x00ff8000 /* Pineview */
+
+#define SRX_INDEX		0x3c4
+#define SRX_DATA		0x3c5
+#define SR01			1
+#define SR01_SCREEN_OFF		(1<<5)
+
+#define PPCR			0x61204
+#define PPCR_ON			(1<<0)
+
+#define DVOB			0x61140
+#define DVOB_ON			(1<<31)
+#define DVOC			0x61160
+#define DVOC_ON			(1<<31)
+#define LVDS			0x61180
+#define LVDS_ON			(1<<31)
+
+/* Scratch pad debug 0 reg:
+ */
+#define   DPLL_FPA01_P1_POST_DIV_MASK_I830	0x001f0000
+/*
+ * The i830 generation, in LVDS mode, defines P1 as the bit number set within
+ * this field (only one bit may be set).
+ */
+#define   DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS	0x003f0000
+#define   DPLL_FPA01_P1_POST_DIV_SHIFT	16
+#define   DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
+/* i830, required in DVO non-gang */
+#define   PLL_P2_DIVIDE_BY_4		(1 << 23)
+#define   PLL_P1_DIVIDE_BY_TWO		(1 << 21) /* i830 */
+#define   PLL_REF_INPUT_DREFCLK		(0 << 13)
+#define   PLL_REF_INPUT_TVCLKINA	(1 << 13) /* i830 */
+#define   PLL_REF_INPUT_TVCLKINBC	(2 << 13) /* SDVO TVCLKIN */
+#define   PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
+#define   PLL_REF_INPUT_MASK		(3 << 13)
+#define   PLL_LOAD_PULSE_PHASE_SHIFT		9
+/* Ironlake */
+# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT     9
+# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK      (7 << 9)
+# define PLL_REF_SDVO_HDMI_MULTIPLIER(x)	(((x)-1) << 9)
+# define DPLL_FPA1_P1_POST_DIV_SHIFT            0
+# define DPLL_FPA1_P1_POST_DIV_MASK             0xff
+
+/*
+ * Parallel to Serial Load Pulse phase selection.
+ * Selects the phase for the 10X DPLL clock for the PCIe
+ * digital display port. The range is 4 to 13; 10 or more
+ * is just a flip delay. The default is 6
+ */
+#define   PLL_LOAD_PULSE_PHASE_MASK		(0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
+#define   DISPLAY_RATE_SELECT_FPA1		(1 << 8)
+/*
+ * SDVO multiplier for 945G/GM. Not used on 965.
+ */
+#define   SDVO_MULTIPLIER_MASK			0x000000ff
+#define   SDVO_MULTIPLIER_SHIFT_HIRES		4
+#define   SDVO_MULTIPLIER_SHIFT_VGA		0
+#define _DPLL_A_MD 0x0601c /* 965+ only */
+/*
+ * UDI pixel divider, controlling how many pixels are stuffed into a packet.
+ *
+ * Value is pixels minus 1.  Must be set to 1 pixel for SDVO.
+ */
+#define   DPLL_MD_UDI_DIVIDER_MASK		0x3f000000
+#define   DPLL_MD_UDI_DIVIDER_SHIFT		24
+/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
+#define   DPLL_MD_VGA_UDI_DIVIDER_MASK		0x003f0000
+#define   DPLL_MD_VGA_UDI_DIVIDER_SHIFT		16
+/*
+ * SDVO/UDI pixel multiplier.
+ *
+ * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
+ * clock rate is 10 times the DPLL clock.  At low resolution/refresh rate
+ * modes, the bus rate would be below the limits, so SDVO allows for stuffing
+ * dummy bytes in the datastream at an increased clock rate, with both sides of
+ * the link knowing how many bytes are fill.
+ *
+ * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
+ * rate to 130Mhz to get a bus rate of 1.30Ghz.  The DPLL clock rate would be
+ * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
+ * through an SDVO command.
+ *
+ * This register field has values of multiplication factor minus 1, with
+ * a maximum multiplier of 5 for SDVO.
+ */
+#define   DPLL_MD_UDI_MULTIPLIER_MASK		0x00003f00
+#define   DPLL_MD_UDI_MULTIPLIER_SHIFT		8
+/*
+ * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
+ * This best be set to the default value (3) or the CRT won't work. No,
+ * I don't entirely understand what this does...
+ */
+#define   DPLL_MD_VGA_UDI_MULTIPLIER_MASK	0x0000003f
+#define   DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT	0
+#define _DPLL_B_MD 0x06020 /* 965+ only */
+#define DPLL_MD(pipe) _PIPE(pipe, _DPLL_A_MD, _DPLL_B_MD)
+#define _FPA0	0x06040
+#define _FPA1	0x06044
+#define _FPB0	0x06048
+#define _FPB1	0x0604c
+#define FP0(pipe) _PIPE(pipe, _FPA0, _FPB0)
+#define FP1(pipe) _PIPE(pipe, _FPA1, _FPB1)
+#define   FP_N_DIV_MASK		0x003f0000
+#define   FP_N_PINEVIEW_DIV_MASK	0x00ff0000
+#define   FP_N_DIV_SHIFT		16
+#define   FP_M1_DIV_MASK	0x00003f00
+#define   FP_M1_DIV_SHIFT		 8
+#define   FP_M2_DIV_MASK	0x0000003f
+#define   FP_M2_PINEVIEW_DIV_MASK	0x000000ff
+#define   FP_M2_DIV_SHIFT		 0
+#define DPLL_TEST	0x606c
+#define   DPLLB_TEST_SDVO_DIV_1		(0 << 22)
+#define   DPLLB_TEST_SDVO_DIV_2		(1 << 22)
+#define   DPLLB_TEST_SDVO_DIV_4		(2 << 22)
+#define   DPLLB_TEST_SDVO_DIV_MASK	(3 << 22)
+#define   DPLLB_TEST_N_BYPASS		(1 << 19)
+#define   DPLLB_TEST_M_BYPASS		(1 << 18)
+#define   DPLLB_INPUT_BUFFER_ENABLE	(1 << 16)
+#define   DPLLA_TEST_N_BYPASS		(1 << 3)
+#define   DPLLA_TEST_M_BYPASS		(1 << 2)
+#define   DPLLA_INPUT_BUFFER_ENABLE	(1 << 0)
+#define D_STATE		0x6104
+#define  DSTATE_GFX_RESET_I830			(1<<6)
+#define  DSTATE_PLL_D3_OFF			(1<<3)
+#define  DSTATE_GFX_CLOCK_GATING		(1<<1)
+#define  DSTATE_DOT_CLOCK_GATING		(1<<0)
+#define DSPCLK_GATE_D		0x6200
+# define DPUNIT_B_CLOCK_GATE_DISABLE		(1 << 30) /* 965 */
+# define VSUNIT_CLOCK_GATE_DISABLE		(1 << 29) /* 965 */
+# define VRHUNIT_CLOCK_GATE_DISABLE		(1 << 28) /* 965 */
+# define VRDUNIT_CLOCK_GATE_DISABLE		(1 << 27) /* 965 */
+# define AUDUNIT_CLOCK_GATE_DISABLE		(1 << 26) /* 965 */
+# define DPUNIT_A_CLOCK_GATE_DISABLE		(1 << 25) /* 965 */
+# define DPCUNIT_CLOCK_GATE_DISABLE		(1 << 24) /* 965 */
+# define TVRUNIT_CLOCK_GATE_DISABLE		(1 << 23) /* 915-945 */
+# define TVCUNIT_CLOCK_GATE_DISABLE		(1 << 22) /* 915-945 */
+# define TVFUNIT_CLOCK_GATE_DISABLE		(1 << 21) /* 915-945 */
+# define TVEUNIT_CLOCK_GATE_DISABLE		(1 << 20) /* 915-945 */
+# define DVSUNIT_CLOCK_GATE_DISABLE		(1 << 19) /* 915-945 */
+# define DSSUNIT_CLOCK_GATE_DISABLE		(1 << 18) /* 915-945 */
+# define DDBUNIT_CLOCK_GATE_DISABLE		(1 << 17) /* 915-945 */
+# define DPRUNIT_CLOCK_GATE_DISABLE		(1 << 16) /* 915-945 */
+# define DPFUNIT_CLOCK_GATE_DISABLE		(1 << 15) /* 915-945 */
+# define DPBMUNIT_CLOCK_GATE_DISABLE		(1 << 14) /* 915-945 */
+# define DPLSUNIT_CLOCK_GATE_DISABLE		(1 << 13) /* 915-945 */
+# define DPLUNIT_CLOCK_GATE_DISABLE		(1 << 12) /* 915-945 */
+# define DPOUNIT_CLOCK_GATE_DISABLE		(1 << 11)
+# define DPBUNIT_CLOCK_GATE_DISABLE		(1 << 10)
+# define DCUNIT_CLOCK_GATE_DISABLE		(1 << 9)
+# define DPUNIT_CLOCK_GATE_DISABLE		(1 << 8)
+# define VRUNIT_CLOCK_GATE_DISABLE		(1 << 7) /* 915+: reserved */
+# define OVHUNIT_CLOCK_GATE_DISABLE		(1 << 6) /* 830-865 */
+# define DPIOUNIT_CLOCK_GATE_DISABLE		(1 << 6) /* 915-945 */
+# define OVFUNIT_CLOCK_GATE_DISABLE		(1 << 5)
+# define OVBUNIT_CLOCK_GATE_DISABLE		(1 << 4)
+/**
+ * This bit must be set on the 830 to prevent hangs when turning off the
+ * overlay scaler.
+ */
+# define OVRUNIT_CLOCK_GATE_DISABLE		(1 << 3)
+# define OVCUNIT_CLOCK_GATE_DISABLE		(1 << 2)
+# define OVUUNIT_CLOCK_GATE_DISABLE		(1 << 1)
+# define ZVUNIT_CLOCK_GATE_DISABLE		(1 << 0) /* 830 */
+# define OVLUNIT_CLOCK_GATE_DISABLE		(1 << 0) /* 845,865 */
+
+#define RENCLK_GATE_D1		0x6204
+# define BLITTER_CLOCK_GATE_DISABLE		(1 << 13) /* 945GM only */
+# define MPEG_CLOCK_GATE_DISABLE		(1 << 12) /* 945GM only */
+# define PC_FE_CLOCK_GATE_DISABLE		(1 << 11)
+# define PC_BE_CLOCK_GATE_DISABLE		(1 << 10)
+# define WINDOWER_CLOCK_GATE_DISABLE		(1 << 9)
+# define INTERPOLATOR_CLOCK_GATE_DISABLE	(1 << 8)
+# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE	(1 << 7)
+# define MOTION_COMP_CLOCK_GATE_DISABLE		(1 << 6)
+# define MAG_CLOCK_GATE_DISABLE			(1 << 5)
+/** This bit must be unset on 855,865 */
+# define MECI_CLOCK_GATE_DISABLE		(1 << 4)
+# define DCMP_CLOCK_GATE_DISABLE		(1 << 3)
+# define MEC_CLOCK_GATE_DISABLE			(1 << 2)
+# define MECO_CLOCK_GATE_DISABLE		(1 << 1)
+/** This bit must be set on 855,865. */
+# define SV_CLOCK_GATE_DISABLE			(1 << 0)
+# define I915_MPEG_CLOCK_GATE_DISABLE		(1 << 16)
+# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE	(1 << 15)
+# define I915_MOTION_COMP_CLOCK_GATE_DISABLE	(1 << 14)
+# define I915_BD_BF_CLOCK_GATE_DISABLE		(1 << 13)
+# define I915_SF_SE_CLOCK_GATE_DISABLE		(1 << 12)
+# define I915_WM_CLOCK_GATE_DISABLE		(1 << 11)
+# define I915_IZ_CLOCK_GATE_DISABLE		(1 << 10)
+# define I915_PI_CLOCK_GATE_DISABLE		(1 << 9)
+# define I915_DI_CLOCK_GATE_DISABLE		(1 << 8)
+# define I915_SH_SV_CLOCK_GATE_DISABLE		(1 << 7)
+# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE	(1 << 6)
+# define I915_SC_CLOCK_GATE_DISABLE		(1 << 5)
+# define I915_FL_CLOCK_GATE_DISABLE		(1 << 4)
+# define I915_DM_CLOCK_GATE_DISABLE		(1 << 3)
+# define I915_PS_CLOCK_GATE_DISABLE		(1 << 2)
+# define I915_CC_CLOCK_GATE_DISABLE		(1 << 1)
+# define I915_BY_CLOCK_GATE_DISABLE		(1 << 0)
+
+# define I965_RCZ_CLOCK_GATE_DISABLE		(1 << 30)
+/** This bit must always be set on 965G/965GM */
+# define I965_RCC_CLOCK_GATE_DISABLE		(1 << 29)
+# define I965_RCPB_CLOCK_GATE_DISABLE		(1 << 28)
+# define I965_DAP_CLOCK_GATE_DISABLE		(1 << 27)
+# define I965_ROC_CLOCK_GATE_DISABLE		(1 << 26)
+# define I965_GW_CLOCK_GATE_DISABLE		(1 << 25)
+# define I965_TD_CLOCK_GATE_DISABLE		(1 << 24)
+/** This bit must always be set on 965G */
+# define I965_ISC_CLOCK_GATE_DISABLE		(1 << 23)
+# define I965_IC_CLOCK_GATE_DISABLE		(1 << 22)
+# define I965_EU_CLOCK_GATE_DISABLE		(1 << 21)
+# define I965_IF_CLOCK_GATE_DISABLE		(1 << 20)
+# define I965_TC_CLOCK_GATE_DISABLE		(1 << 19)
+# define I965_SO_CLOCK_GATE_DISABLE		(1 << 17)
+# define I965_FBC_CLOCK_GATE_DISABLE		(1 << 16)
+# define I965_MARI_CLOCK_GATE_DISABLE		(1 << 15)
+# define I965_MASF_CLOCK_GATE_DISABLE		(1 << 14)
+# define I965_MAWB_CLOCK_GATE_DISABLE		(1 << 13)
+# define I965_EM_CLOCK_GATE_DISABLE		(1 << 12)
+# define I965_UC_CLOCK_GATE_DISABLE		(1 << 11)
+# define I965_SI_CLOCK_GATE_DISABLE		(1 << 6)
+# define I965_MT_CLOCK_GATE_DISABLE		(1 << 5)
+# define I965_PL_CLOCK_GATE_DISABLE		(1 << 4)
+# define I965_DG_CLOCK_GATE_DISABLE		(1 << 3)
+# define I965_QC_CLOCK_GATE_DISABLE		(1 << 2)
+# define I965_FT_CLOCK_GATE_DISABLE		(1 << 1)
+# define I965_DM_CLOCK_GATE_DISABLE		(1 << 0)
+
+#define RENCLK_GATE_D2		0x6208
+#define VF_UNIT_CLOCK_GATE_DISABLE		(1 << 9)
+#define GS_UNIT_CLOCK_GATE_DISABLE		(1 << 7)
+#define CL_UNIT_CLOCK_GATE_DISABLE		(1 << 6)
+#define RAMCLK_GATE_D		0x6210		/* CRL only */
+#define DEUC			0x6214          /* CRL only */
+
+/*
+ * Palette regs
+ */
+
+#define _PALETTE_A		0x0a000
+#define _PALETTE_B		0x0a800
+#define PALETTE(pipe) _PIPE(pipe, _PALETTE_A, _PALETTE_B)
+
+/* MCH MMIO space */
+
+/*
+ * MCHBAR mirror.
+ *
+ * This mirrors the MCHBAR MMIO space whose location is determined by
+ * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
+ * every way.  It is not accessible from the CP register read instructions.
+ *
+ */
+#define MCHBAR_MIRROR_BASE	0x10000
+
+#define MCHBAR_MIRROR_BASE_SNB	0x140000
+
+/** 915-945 and GM965 MCH register controlling DRAM channel access */
+#define DCC			0x10200
+#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL		(0 << 0)
+#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC	(1 << 0)
+#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED	(2 << 0)
+#define DCC_ADDRESSING_MODE_MASK			(3 << 0)
+#define DCC_CHANNEL_XOR_DISABLE				(1 << 10)
+#define DCC_CHANNEL_XOR_BIT_17				(1 << 9)
+
+/** Pineview MCH register contains DDR3 setting */
+#define CSHRDDR3CTL            0x101a8
+#define CSHRDDR3CTL_DDR3       (1 << 2)
+
+/** 965 MCH register controlling DRAM channel configuration */
+#define C0DRB3			0x10206
+#define C1DRB3			0x10606
+
+/** snb MCH registers for reading the DRAM channel configuration */
+#define MAD_DIMM_C0			(MCHBAR_MIRROR_BASE_SNB + 0x5004)
+#define MAD_DIMM_C1			(MCHBAR_MIRROR_BASE_SNB + 0x5008)
+#define MAD_DIMM_C2			(MCHBAR_MIRROR_BASE_SNB + 0x500C)
+#define   MAD_DIMM_ECC_MASK		(0x3 << 24)
+#define   MAD_DIMM_ECC_OFF		(0x0 << 24)
+#define   MAD_DIMM_ECC_IO_ON_LOGIC_OFF	(0x1 << 24)
+#define   MAD_DIMM_ECC_IO_OFF_LOGIC_ON	(0x2 << 24)
+#define   MAD_DIMM_ECC_ON		(0x3 << 24)
+#define   MAD_DIMM_ENH_INTERLEAVE	(0x1 << 22)
+#define   MAD_DIMM_RANK_INTERLEAVE	(0x1 << 21)
+#define   MAD_DIMM_B_WIDTH_X16		(0x1 << 20) /* X8 chips if unset */
+#define   MAD_DIMM_A_WIDTH_X16		(0x1 << 19) /* X8 chips if unset */
+#define   MAD_DIMM_B_DUAL_RANK		(0x1 << 18)
+#define   MAD_DIMM_A_DUAL_RANK		(0x1 << 17)
+#define   MAD_DIMM_A_SELECT		(0x1 << 16)
+/* DIMM sizes are in multiples of 256mb. */
+#define   MAD_DIMM_B_SIZE_SHIFT		8
+#define   MAD_DIMM_B_SIZE_MASK		(0xff << MAD_DIMM_B_SIZE_SHIFT)
+#define   MAD_DIMM_A_SIZE_SHIFT		0
+#define   MAD_DIMM_A_SIZE_MASK		(0xff << MAD_DIMM_A_SIZE_SHIFT)
+
+
+/* Clocking configuration register */
+#define CLKCFG			0x10c00
+#define CLKCFG_FSB_400					(5 << 0)	/* hrawclk 100 */
+#define CLKCFG_FSB_533					(1 << 0)	/* hrawclk 133 */
+#define CLKCFG_FSB_667					(3 << 0)	/* hrawclk 166 */
+#define CLKCFG_FSB_800					(2 << 0)	/* hrawclk 200 */
+#define CLKCFG_FSB_1067					(6 << 0)	/* hrawclk 266 */
+#define CLKCFG_FSB_1333					(7 << 0)	/* hrawclk 333 */
+/* Note, below two are guess */
+#define CLKCFG_FSB_1600					(4 << 0)	/* hrawclk 400 */
+#define CLKCFG_FSB_1600_ALT				(0 << 0)	/* hrawclk 400 */
+#define CLKCFG_FSB_MASK					(7 << 0)
+#define CLKCFG_MEM_533					(1 << 4)
+#define CLKCFG_MEM_667					(2 << 4)
+#define CLKCFG_MEM_800					(3 << 4)
+#define CLKCFG_MEM_MASK					(7 << 4)
+
+#define TSC1			0x11001
+#define   TSE			(1<<0)
+#define TR1			0x11006
+#define TSFS			0x11020
+#define   TSFS_SLOPE_MASK	0x0000ff00
+#define   TSFS_SLOPE_SHIFT	8
+#define   TSFS_INTR_MASK	0x000000ff
+
+#define CRSTANDVID		0x11100
+#define PXVFREQ_BASE		0x11110 /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
+#define   PXVFREQ_PX_MASK	0x7f000000
+#define   PXVFREQ_PX_SHIFT	24
+#define VIDFREQ_BASE		0x11110
+#define VIDFREQ1		0x11110 /* VIDFREQ1-4 (0x1111c) (Cantiga) */
+#define VIDFREQ2		0x11114
+#define VIDFREQ3		0x11118
+#define VIDFREQ4		0x1111c
+#define   VIDFREQ_P0_MASK	0x1f000000
+#define   VIDFREQ_P0_SHIFT	24
+#define   VIDFREQ_P0_CSCLK_MASK	0x00f00000
+#define   VIDFREQ_P0_CSCLK_SHIFT 20
+#define   VIDFREQ_P0_CRCLK_MASK	0x000f0000
+#define   VIDFREQ_P0_CRCLK_SHIFT 16
+#define   VIDFREQ_P1_MASK	0x00001f00
+#define   VIDFREQ_P1_SHIFT	8
+#define   VIDFREQ_P1_CSCLK_MASK	0x000000f0
+#define   VIDFREQ_P1_CSCLK_SHIFT 4
+#define   VIDFREQ_P1_CRCLK_MASK	0x0000000f
+#define INTTOEXT_BASE_ILK	0x11300
+#define INTTOEXT_BASE		0x11120 /* INTTOEXT1-8 (0x1113c) */
+#define   INTTOEXT_MAP3_SHIFT	24
+#define   INTTOEXT_MAP3_MASK	(0x1f << INTTOEXT_MAP3_SHIFT)
+#define   INTTOEXT_MAP2_SHIFT	16
+#define   INTTOEXT_MAP2_MASK	(0x1f << INTTOEXT_MAP2_SHIFT)
+#define   INTTOEXT_MAP1_SHIFT	8
+#define   INTTOEXT_MAP1_MASK	(0x1f << INTTOEXT_MAP1_SHIFT)
+#define   INTTOEXT_MAP0_SHIFT	0
+#define   INTTOEXT_MAP0_MASK	(0x1f << INTTOEXT_MAP0_SHIFT)
+#define MEMSWCTL		0x11170 /* Ironlake only */
+#define   MEMCTL_CMD_MASK	0xe000
+#define   MEMCTL_CMD_SHIFT	13
+#define   MEMCTL_CMD_RCLK_OFF	0
+#define   MEMCTL_CMD_RCLK_ON	1
+#define   MEMCTL_CMD_CHFREQ	2
+#define   MEMCTL_CMD_CHVID	3
+#define   MEMCTL_CMD_VMMOFF	4
+#define   MEMCTL_CMD_VMMON	5
+#define   MEMCTL_CMD_STS	(1<<12) /* write 1 triggers command, clears
+					   when command complete */
+#define   MEMCTL_FREQ_MASK	0x0f00 /* jitter, from 0-15 */
+#define   MEMCTL_FREQ_SHIFT	8
+#define   MEMCTL_SFCAVM		(1<<7)
+#define   MEMCTL_TGT_VID_MASK	0x007f
+#define MEMIHYST		0x1117c
+#define MEMINTREN		0x11180 /* 16 bits */
+#define   MEMINT_RSEXIT_EN	(1<<8)
+#define   MEMINT_CX_SUPR_EN	(1<<7)
+#define   MEMINT_CONT_BUSY_EN	(1<<6)
+#define   MEMINT_AVG_BUSY_EN	(1<<5)
+#define   MEMINT_EVAL_CHG_EN	(1<<4)
+#define   MEMINT_MON_IDLE_EN	(1<<3)
+#define   MEMINT_UP_EVAL_EN	(1<<2)
+#define   MEMINT_DOWN_EVAL_EN	(1<<1)
+#define   MEMINT_SW_CMD_EN	(1<<0)
+#define MEMINTRSTR		0x11182 /* 16 bits */
+#define   MEM_RSEXIT_MASK	0xc000
+#define   MEM_RSEXIT_SHIFT	14
+#define   MEM_CONT_BUSY_MASK	0x3000
+#define   MEM_CONT_BUSY_SHIFT	12
+#define   MEM_AVG_BUSY_MASK	0x0c00
+#define   MEM_AVG_BUSY_SHIFT	10
+#define   MEM_EVAL_CHG_MASK	0x0300
+#define   MEM_EVAL_BUSY_SHIFT	8
+#define   MEM_MON_IDLE_MASK	0x00c0
+#define   MEM_MON_IDLE_SHIFT	6
+#define   MEM_UP_EVAL_MASK	0x0030
+#define   MEM_UP_EVAL_SHIFT	4
+#define   MEM_DOWN_EVAL_MASK	0x000c
+#define   MEM_DOWN_EVAL_SHIFT	2
+#define   MEM_SW_CMD_MASK	0x0003
+#define   MEM_INT_STEER_GFX	0
+#define   MEM_INT_STEER_CMR	1
+#define   MEM_INT_STEER_SMI	2
+#define   MEM_INT_STEER_SCI	3
+#define MEMINTRSTS		0x11184
+#define   MEMINT_RSEXIT		(1<<7)
+#define   MEMINT_CONT_BUSY	(1<<6)
+#define   MEMINT_AVG_BUSY	(1<<5)
+#define   MEMINT_EVAL_CHG	(1<<4)
+#define   MEMINT_MON_IDLE	(1<<3)
+#define   MEMINT_UP_EVAL	(1<<2)
+#define   MEMINT_DOWN_EVAL	(1<<1)
+#define   MEMINT_SW_CMD		(1<<0)
+#define MEMMODECTL		0x11190
+#define   MEMMODE_BOOST_EN	(1<<31)
+#define   MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
+#define   MEMMODE_BOOST_FREQ_SHIFT 24
+#define   MEMMODE_IDLE_MODE_MASK 0x00030000
+#define   MEMMODE_IDLE_MODE_SHIFT 16
+#define   MEMMODE_IDLE_MODE_EVAL 0
+#define   MEMMODE_IDLE_MODE_CONT 1
+#define   MEMMODE_HWIDLE_EN	(1<<15)
+#define   MEMMODE_SWMODE_EN	(1<<14)
+#define   MEMMODE_RCLK_GATE	(1<<13)
+#define   MEMMODE_HW_UPDATE	(1<<12)
+#define   MEMMODE_FSTART_MASK	0x00000f00 /* starting jitter, 0-15 */
+#define   MEMMODE_FSTART_SHIFT	8
+#define   MEMMODE_FMAX_MASK	0x000000f0 /* max jitter, 0-15 */
+#define   MEMMODE_FMAX_SHIFT	4
+#define   MEMMODE_FMIN_MASK	0x0000000f /* min jitter, 0-15 */
+#define RCBMAXAVG		0x1119c
+#define MEMSWCTL2		0x1119e /* Cantiga only */
+#define   SWMEMCMD_RENDER_OFF	(0 << 13)
+#define   SWMEMCMD_RENDER_ON	(1 << 13)
+#define   SWMEMCMD_SWFREQ	(2 << 13)
+#define   SWMEMCMD_TARVID	(3 << 13)
+#define   SWMEMCMD_VRM_OFF	(4 << 13)
+#define   SWMEMCMD_VRM_ON	(5 << 13)
+#define   CMDSTS		(1<<12)
+#define   SFCAVM		(1<<11)
+#define   SWFREQ_MASK		0x0380 /* P0-7 */
+#define   SWFREQ_SHIFT		7
+#define   TARVID_MASK		0x001f
+#define MEMSTAT_CTG		0x111a0
+#define RCBMINAVG		0x111a0
+#define RCUPEI			0x111b0
+#define RCDNEI			0x111b4
+#define RSTDBYCTL		0x111b8
+#define   RS1EN			(1<<31)
+#define   RS2EN			(1<<30)
+#define   RS3EN			(1<<29)
+#define   D3RS3EN		(1<<28) /* Display D3 imlies RS3 */
+#define   SWPROMORSX		(1<<27) /* RSx promotion timers ignored */
+#define   RCWAKERW		(1<<26) /* Resetwarn from PCH causes wakeup */
+#define   DPRSLPVREN		(1<<25) /* Fast voltage ramp enable */
+#define   GFXTGHYST		(1<<24) /* Hysteresis to allow trunk gating */
+#define   RCX_SW_EXIT		(1<<23) /* Leave RSx and prevent re-entry */
+#define   RSX_STATUS_MASK	(7<<20)
+#define   RSX_STATUS_ON		(0<<20)
+#define   RSX_STATUS_RC1	(1<<20)
+#define   RSX_STATUS_RC1E	(2<<20)
+#define   RSX_STATUS_RS1	(3<<20)
+#define   RSX_STATUS_RS2	(4<<20) /* aka rc6 */
+#define   RSX_STATUS_RSVD	(5<<20) /* deep rc6 unsupported on ilk */
+#define   RSX_STATUS_RS3	(6<<20) /* rs3 unsupported on ilk */
+#define   RSX_STATUS_RSVD2	(7<<20)
+#define   UWRCRSXE		(1<<19) /* wake counter limit prevents rsx */
+#define   RSCRP			(1<<18) /* rs requests control on rs1/2 reqs */
+#define   JRSC			(1<<17) /* rsx coupled to cpu c-state */
+#define   RS2INC0		(1<<16) /* allow rs2 in cpu c0 */
+#define   RS1CONTSAV_MASK	(3<<14)
+#define   RS1CONTSAV_NO_RS1	(0<<14) /* rs1 doesn't save/restore context */
+#define   RS1CONTSAV_RSVD	(1<<14)
+#define   RS1CONTSAV_SAVE_RS1	(2<<14) /* rs1 saves context */
+#define   RS1CONTSAV_FULL_RS1	(3<<14) /* rs1 saves and restores context */
+#define   NORMSLEXLAT_MASK	(3<<12)
+#define   SLOW_RS123		(0<<12)
+#define   SLOW_RS23		(1<<12)
+#define   SLOW_RS3		(2<<12)
+#define   NORMAL_RS123		(3<<12)
+#define   RCMODE_TIMEOUT	(1<<11) /* 0 is eval interval method */
+#define   IMPROMOEN		(1<<10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
+#define   RCENTSYNC		(1<<9) /* rs coupled to cpu c-state (3/6/7) */
+#define   STATELOCK		(1<<7) /* locked to rs_cstate if 0 */
+#define   RS_CSTATE_MASK	(3<<4)
+#define   RS_CSTATE_C367_RS1	(0<<4)
+#define   RS_CSTATE_C36_RS1_C7_RS2 (1<<4)
+#define   RS_CSTATE_RSVD	(2<<4)
+#define   RS_CSTATE_C367_RS2	(3<<4)
+#define   REDSAVES		(1<<3) /* no context save if was idle during rs0 */
+#define   REDRESTORES		(1<<2) /* no restore if was idle during rs0 */
+#define VIDCTL			0x111c0
+#define VIDSTS			0x111c8
+#define VIDSTART		0x111cc /* 8 bits */
+#define MEMSTAT_ILK			0x111f8
+#define   MEMSTAT_VID_MASK	0x7f00
+#define   MEMSTAT_VID_SHIFT	8
+#define   MEMSTAT_PSTATE_MASK	0x00f8
+#define   MEMSTAT_PSTATE_SHIFT  3
+#define   MEMSTAT_MON_ACTV	(1<<2)
+#define   MEMSTAT_SRC_CTL_MASK	0x0003
+#define   MEMSTAT_SRC_CTL_CORE	0
+#define   MEMSTAT_SRC_CTL_TRB	1
+#define   MEMSTAT_SRC_CTL_THM	2
+#define   MEMSTAT_SRC_CTL_STDBY 3
+#define RCPREVBSYTUPAVG		0x113b8
+#define RCPREVBSYTDNAVG		0x113bc
+#define PMMISC			0x11214
+#define   MCPPCE_EN		(1<<0) /* enable PM_MSG from PCH->MPC */
+#define SDEW			0x1124c
+#define CSIEW0			0x11250
+#define CSIEW1			0x11254
+#define CSIEW2			0x11258
+#define PEW			0x1125c
+#define DEW			0x11270
+#define MCHAFE			0x112c0
+#define CSIEC			0x112e0
+#define DMIEC			0x112e4
+#define DDREC			0x112e8
+#define PEG0EC			0x112ec
+#define PEG1EC			0x112f0
+#define GFXEC			0x112f4
+#define RPPREVBSYTUPAVG		0x113b8
+#define RPPREVBSYTDNAVG		0x113bc
+#define ECR			0x11600
+#define   ECR_GPFE		(1<<31)
+#define   ECR_IMONE		(1<<30)
+#define   ECR_CAP_MASK		0x0000001f /* Event range, 0-31 */
+#define OGW0			0x11608
+#define OGW1			0x1160c
+#define EG0			0x11610
+#define EG1			0x11614
+#define EG2			0x11618
+#define EG3			0x1161c
+#define EG4			0x11620
+#define EG5			0x11624
+#define EG6			0x11628
+#define EG7			0x1162c
+#define PXW			0x11664
+#define PXWL			0x11680
+#define LCFUSE02		0x116c0
+#define   LCFUSE_HIV_MASK	0x000000ff
+#define CSIPLL0			0x12c10
+#define DDRMPLL1		0X12c20
+#define PEG_BAND_GAP_DATA	0x14d68
+
+#define GEN6_GT_THREAD_STATUS_REG 0x13805c
+#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
+
+#define GEN6_GT_PERF_STATUS	0x145948
+#define GEN6_RP_STATE_LIMITS	0x145994
+#define GEN6_RP_STATE_CAP	0x145998
+
+/*
+ * Logical Context regs
+ */
+#define CCID			0x2180
+#define   CCID_EN		(1<<0)
+/*
+ * Overlay regs
+ */
+
+#define OVADD			0x30000
+#define DOVSTA			0x30008
+#define OC_BUF			(0x3<<20)
+#define OGAMC5			0x30010
+#define OGAMC4			0x30014
+#define OGAMC3			0x30018
+#define OGAMC2			0x3001c
+#define OGAMC1			0x30020
+#define OGAMC0			0x30024
+
+/*
+ * Display engine regs
+ */
+
+/* Pipe A timing regs */
+#define _HTOTAL_A	0x60000
+#define _HBLANK_A	0x60004
+#define _HSYNC_A		0x60008
+#define _VTOTAL_A	0x6000c
+#define _VBLANK_A	0x60010
+#define _VSYNC_A		0x60014
+#define _PIPEASRC	0x6001c
+#define _BCLRPAT_A	0x60020
+#define _VSYNCSHIFT_A	0x60028
+
+/* Pipe B timing regs */
+#define _HTOTAL_B	0x61000
+#define _HBLANK_B	0x61004
+#define _HSYNC_B		0x61008
+#define _VTOTAL_B	0x6100c
+#define _VBLANK_B	0x61010
+#define _VSYNC_B		0x61014
+#define _PIPEBSRC	0x6101c
+#define _BCLRPAT_B	0x61020
+#define _VSYNCSHIFT_B	0x61028
+
+
+#define HTOTAL(pipe) _PIPE(pipe, _HTOTAL_A, _HTOTAL_B)
+#define HBLANK(pipe) _PIPE(pipe, _HBLANK_A, _HBLANK_B)
+#define HSYNC(pipe) _PIPE(pipe, _HSYNC_A, _HSYNC_B)
+#define VTOTAL(pipe) _PIPE(pipe, _VTOTAL_A, _VTOTAL_B)
+#define VBLANK(pipe) _PIPE(pipe, _VBLANK_A, _VBLANK_B)
+#define VSYNC(pipe) _PIPE(pipe, _VSYNC_A, _VSYNC_B)
+#define BCLRPAT(pipe) _PIPE(pipe, _BCLRPAT_A, _BCLRPAT_B)
+#define VSYNCSHIFT(pipe) _PIPE(pipe, _VSYNCSHIFT_A, _VSYNCSHIFT_B)
+
+/* VGA port control */
+#define ADPA			0x61100
+#define   ADPA_DAC_ENABLE	(1<<31)
+#define   ADPA_DAC_DISABLE	0
+#define   ADPA_PIPE_SELECT_MASK	(1<<30)
+#define   ADPA_PIPE_A_SELECT	0
+#define   ADPA_PIPE_B_SELECT	(1<<30)
+#define   ADPA_PIPE_SELECT(pipe) ((pipe) << 30)
+#define   ADPA_USE_VGA_HVPOLARITY (1<<15)
+#define   ADPA_SETS_HVPOLARITY	0
+#define   ADPA_VSYNC_CNTL_DISABLE (1<<11)
+#define   ADPA_VSYNC_CNTL_ENABLE 0
+#define   ADPA_HSYNC_CNTL_DISABLE (1<<10)
+#define   ADPA_HSYNC_CNTL_ENABLE 0
+#define   ADPA_VSYNC_ACTIVE_HIGH (1<<4)
+#define   ADPA_VSYNC_ACTIVE_LOW	0
+#define   ADPA_HSYNC_ACTIVE_HIGH (1<<3)
+#define   ADPA_HSYNC_ACTIVE_LOW	0
+#define   ADPA_DPMS_MASK	(~(3<<10))
+#define   ADPA_DPMS_ON		(0<<10)
+#define   ADPA_DPMS_SUSPEND	(1<<10)
+#define   ADPA_DPMS_STANDBY	(2<<10)
+#define   ADPA_DPMS_OFF		(3<<10)
+
+
+/* Hotplug control (945+ only) */
+#define PORT_HOTPLUG_EN		0x61110
+#define   HDMIB_HOTPLUG_INT_EN			(1 << 29)
+#define   DPB_HOTPLUG_INT_EN			(1 << 29)
+#define   HDMIC_HOTPLUG_INT_EN			(1 << 28)
+#define   DPC_HOTPLUG_INT_EN			(1 << 28)
+#define   HDMID_HOTPLUG_INT_EN			(1 << 27)
+#define   DPD_HOTPLUG_INT_EN			(1 << 27)
+#define   SDVOB_HOTPLUG_INT_EN			(1 << 26)
+#define   SDVOC_HOTPLUG_INT_EN			(1 << 25)
+#define   TV_HOTPLUG_INT_EN			(1 << 18)
+#define   CRT_HOTPLUG_INT_EN			(1 << 9)
+#define   CRT_HOTPLUG_FORCE_DETECT		(1 << 3)
+#define CRT_HOTPLUG_ACTIVATION_PERIOD_32	(0 << 8)
+/* must use period 64 on GM45 according to docs */
+#define CRT_HOTPLUG_ACTIVATION_PERIOD_64	(1 << 8)
+#define CRT_HOTPLUG_DAC_ON_TIME_2M		(0 << 7)
+#define CRT_HOTPLUG_DAC_ON_TIME_4M		(1 << 7)
+#define CRT_HOTPLUG_VOLTAGE_COMPARE_40		(0 << 5)
+#define CRT_HOTPLUG_VOLTAGE_COMPARE_50		(1 << 5)
+#define CRT_HOTPLUG_VOLTAGE_COMPARE_60		(2 << 5)
+#define CRT_HOTPLUG_VOLTAGE_COMPARE_70		(3 << 5)
+#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK	(3 << 5)
+#define CRT_HOTPLUG_DETECT_DELAY_1G		(0 << 4)
+#define CRT_HOTPLUG_DETECT_DELAY_2G		(1 << 4)
+#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV	(0 << 2)
+#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV	(1 << 2)
+
+#define PORT_HOTPLUG_STAT	0x61114
+#define   HDMIB_HOTPLUG_INT_STATUS		(1 << 29)
+#define   DPB_HOTPLUG_INT_STATUS		(1 << 29)
+#define   HDMIC_HOTPLUG_INT_STATUS		(1 << 28)
+#define   DPC_HOTPLUG_INT_STATUS		(1 << 28)
+#define   HDMID_HOTPLUG_INT_STATUS		(1 << 27)
+#define   DPD_HOTPLUG_INT_STATUS		(1 << 27)
+#define   CRT_HOTPLUG_INT_STATUS		(1 << 11)
+#define   TV_HOTPLUG_INT_STATUS			(1 << 10)
+#define   CRT_HOTPLUG_MONITOR_MASK		(3 << 8)
+#define   CRT_HOTPLUG_MONITOR_COLOR		(3 << 8)
+#define   CRT_HOTPLUG_MONITOR_MONO		(2 << 8)
+#define   CRT_HOTPLUG_MONITOR_NONE		(0 << 8)
+#define   SDVOC_HOTPLUG_INT_STATUS		(1 << 7)
+#define   SDVOB_HOTPLUG_INT_STATUS		(1 << 6)
+
+/* SDVO port control */
+#define SDVOB			0x61140
+#define SDVOC			0x61160
+#define   SDVO_ENABLE		(1 << 31)
+#define   SDVO_PIPE_B_SELECT	(1 << 30)
+#define   SDVO_STALL_SELECT	(1 << 29)
+#define   SDVO_INTERRUPT_ENABLE	(1 << 26)
+/**
+ * 915G/GM SDVO pixel multiplier.
+ *
+ * Programmed value is multiplier - 1, up to 5x.
+ *
+ * \sa DPLL_MD_UDI_MULTIPLIER_MASK
+ */
+#define   SDVO_PORT_MULTIPLY_MASK	(7 << 23)
+#define   SDVO_PORT_MULTIPLY_SHIFT		23
+#define   SDVO_PHASE_SELECT_MASK	(15 << 19)
+#define   SDVO_PHASE_SELECT_DEFAULT	(6 << 19)
+#define   SDVO_CLOCK_OUTPUT_INVERT	(1 << 18)
+#define   SDVOC_GANG_MODE		(1 << 16)
+#define   SDVO_ENCODING_SDVO		(0x0 << 10)
+#define   SDVO_ENCODING_HDMI		(0x2 << 10)
+/** Requird for HDMI operation */
+#define   SDVO_NULL_PACKETS_DURING_VSYNC (1 << 9)
+#define   SDVO_COLOR_RANGE_16_235	(1 << 8)
+#define   SDVO_BORDER_ENABLE		(1 << 7)
+#define   SDVO_AUDIO_ENABLE		(1 << 6)
+/** New with 965, default is to be set */
+#define   SDVO_VSYNC_ACTIVE_HIGH	(1 << 4)
+/** New with 965, default is to be set */
+#define   SDVO_HSYNC_ACTIVE_HIGH	(1 << 3)
+#define   SDVOB_PCIE_CONCURRENCY	(1 << 3)
+#define   SDVO_DETECTED			(1 << 2)
+/* Bits to be preserved when writing */
+#define   SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | (1 << 26))
+#define   SDVOC_PRESERVE_MASK ((1 << 17) | (1 << 26))
+
+/* DVO port control */
+#define DVOA			0x61120
+#define DVOB			0x61140
+#define DVOC			0x61160
+#define   DVO_ENABLE			(1 << 31)
+#define   DVO_PIPE_B_SELECT		(1 << 30)
+#define   DVO_PIPE_STALL_UNUSED		(0 << 28)
+#define   DVO_PIPE_STALL		(1 << 28)
+#define   DVO_PIPE_STALL_TV		(2 << 28)
+#define   DVO_PIPE_STALL_MASK		(3 << 28)
+#define   DVO_USE_VGA_SYNC		(1 << 15)
+#define   DVO_DATA_ORDER_I740		(0 << 14)
+#define   DVO_DATA_ORDER_FP		(1 << 14)
+#define   DVO_VSYNC_DISABLE		(1 << 11)
+#define   DVO_HSYNC_DISABLE		(1 << 10)
+#define   DVO_VSYNC_TRISTATE		(1 << 9)
+#define   DVO_HSYNC_TRISTATE		(1 << 8)
+#define   DVO_BORDER_ENABLE		(1 << 7)
+#define   DVO_DATA_ORDER_GBRG		(1 << 6)
+#define   DVO_DATA_ORDER_RGGB		(0 << 6)
+#define   DVO_DATA_ORDER_GBRG_ERRATA	(0 << 6)
+#define   DVO_DATA_ORDER_RGGB_ERRATA	(1 << 6)
+#define   DVO_VSYNC_ACTIVE_HIGH		(1 << 4)
+#define   DVO_HSYNC_ACTIVE_HIGH		(1 << 3)
+#define   DVO_BLANK_ACTIVE_HIGH		(1 << 2)
+#define   DVO_OUTPUT_CSTATE_PIXELS	(1 << 1)	/* SDG only */
+#define   DVO_OUTPUT_SOURCE_SIZE_PIXELS	(1 << 0)	/* SDG only */
+#define   DVO_PRESERVE_MASK		(0x7<<24)
+#define DVOA_SRCDIM		0x61124
+#define DVOB_SRCDIM		0x61144
+#define DVOC_SRCDIM		0x61164
+#define   DVO_SRCDIM_HORIZONTAL_SHIFT	12
+#define   DVO_SRCDIM_VERTICAL_SHIFT	0
+
+/* LVDS port control */
+#define LVDS			0x61180
+/*
+ * Enables the LVDS port.  This bit must be set before DPLLs are enabled, as
+ * the DPLL semantics change when the LVDS is assigned to that pipe.
+ */
+#define   LVDS_PORT_EN			(1 << 31)
+/* Selects pipe B for LVDS data.  Must be set on pre-965. */
+#define   LVDS_PIPEB_SELECT		(1 << 30)
+#define   LVDS_PIPE_MASK		(1 << 30)
+#define   LVDS_PIPE(pipe)		((pipe) << 30)
+/* LVDS dithering flag on 965/g4x platform */
+#define   LVDS_ENABLE_DITHER		(1 << 25)
+/* LVDS sync polarity flags. Set to invert (i.e. negative) */
+#define   LVDS_VSYNC_POLARITY		(1 << 21)
+#define   LVDS_HSYNC_POLARITY		(1 << 20)
+
+/* Enable border for unscaled (or aspect-scaled) display */
+#define   LVDS_BORDER_ENABLE		(1 << 15)
+/*
+ * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
+ * pixel.
+ */
+#define   LVDS_A0A2_CLKA_POWER_MASK	(3 << 8)
+#define   LVDS_A0A2_CLKA_POWER_DOWN	(0 << 8)
+#define   LVDS_A0A2_CLKA_POWER_UP	(3 << 8)
+/*
+ * Controls the A3 data pair, which contains the additional LSBs for 24 bit
+ * mode.  Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
+ * on.
+ */
+#define   LVDS_A3_POWER_MASK		(3 << 6)
+#define   LVDS_A3_POWER_DOWN		(0 << 6)
+#define   LVDS_A3_POWER_UP		(3 << 6)
+/*
+ * Controls the CLKB pair.  This should only be set when LVDS_B0B3_POWER_UP
+ * is set.
+ */
+#define   LVDS_CLKB_POWER_MASK		(3 << 4)
+#define   LVDS_CLKB_POWER_DOWN		(0 << 4)
+#define   LVDS_CLKB_POWER_UP		(3 << 4)
+/*
+ * Controls the B0-B3 data pairs.  This must be set to match the DPLL p2
+ * setting for whether we are in dual-channel mode.  The B3 pair will
+ * additionally only be powered up when LVDS_A3_POWER_UP is set.
+ */
+#define   LVDS_B0B3_POWER_MASK		(3 << 2)
+#define   LVDS_B0B3_POWER_DOWN		(0 << 2)
+#define   LVDS_B0B3_POWER_UP		(3 << 2)
+
+/* Video Data Island Packet control */
+#define VIDEO_DIP_DATA		0x61178
+#define VIDEO_DIP_CTL		0x61170
+#define   VIDEO_DIP_ENABLE		(1 << 31)
+#define   VIDEO_DIP_PORT_B		(1 << 29)
+#define   VIDEO_DIP_PORT_C		(2 << 29)
+#define   VIDEO_DIP_ENABLE_AVI		(1 << 21)
+#define   VIDEO_DIP_ENABLE_VENDOR	(2 << 21)
+#define   VIDEO_DIP_ENABLE_SPD		(8 << 21)
+#define   VIDEO_DIP_SELECT_AVI		(0 << 19)
+#define   VIDEO_DIP_SELECT_VENDOR	(1 << 19)
+#define   VIDEO_DIP_SELECT_SPD		(3 << 19)
+#define   VIDEO_DIP_SELECT_MASK		(3 << 19)
+#define   VIDEO_DIP_FREQ_ONCE		(0 << 16)
+#define   VIDEO_DIP_FREQ_VSYNC		(1 << 16)
+#define   VIDEO_DIP_FREQ_2VSYNC		(2 << 16)
+
+/* Panel power sequencing */
+#define PP_STATUS	0x61200
+#define   PP_ON		(1 << 31)
+/*
+ * Indicates that all dependencies of the panel are on:
+ *
+ * - PLL enabled
+ * - pipe enabled
+ * - LVDS/DVOB/DVOC on
+ */
+#define   PP_READY		(1 << 30)
+#define   PP_SEQUENCE_NONE	(0 << 28)
+#define   PP_SEQUENCE_POWER_UP	(1 << 28)
+#define   PP_SEQUENCE_POWER_DOWN (2 << 28)
+#define   PP_SEQUENCE_MASK	(3 << 28)
+#define   PP_SEQUENCE_SHIFT	28
+#define   PP_CYCLE_DELAY_ACTIVE	(1 << 27)
+#define   PP_SEQUENCE_STATE_MASK 0x0000000f
+#define   PP_SEQUENCE_STATE_OFF_IDLE	(0x0 << 0)
+#define   PP_SEQUENCE_STATE_OFF_S0_1	(0x1 << 0)
+#define   PP_SEQUENCE_STATE_OFF_S0_2	(0x2 << 0)
+#define   PP_SEQUENCE_STATE_OFF_S0_3	(0x3 << 0)
+#define   PP_SEQUENCE_STATE_ON_IDLE	(0x8 << 0)
+#define   PP_SEQUENCE_STATE_ON_S1_0	(0x9 << 0)
+#define   PP_SEQUENCE_STATE_ON_S1_2	(0xa << 0)
+#define   PP_SEQUENCE_STATE_ON_S1_3	(0xb << 0)
+#define   PP_SEQUENCE_STATE_RESET	(0xf << 0)
+#define PP_CONTROL	0x61204
+#define   POWER_TARGET_ON	(1 << 0)
+#define PP_ON_DELAYS	0x61208
+#define PP_OFF_DELAYS	0x6120c
+#define PP_DIVISOR	0x61210
+
+/* Panel fitting */
+#define PFIT_CONTROL	0x61230
+#define   PFIT_ENABLE		(1 << 31)
+#define   PFIT_PIPE_MASK	(3 << 29)
+#define   PFIT_PIPE_SHIFT	29
+#define   VERT_INTERP_DISABLE	(0 << 10)
+#define   VERT_INTERP_BILINEAR	(1 << 10)
+#define   VERT_INTERP_MASK	(3 << 10)
+#define   VERT_AUTO_SCALE	(1 << 9)
+#define   HORIZ_INTERP_DISABLE	(0 << 6)
+#define   HORIZ_INTERP_BILINEAR	(1 << 6)
+#define   HORIZ_INTERP_MASK	(3 << 6)
+#define   HORIZ_AUTO_SCALE	(1 << 5)
+#define   PANEL_8TO6_DITHER_ENABLE (1 << 3)
+#define   PFIT_FILTER_FUZZY	(0 << 24)
+#define   PFIT_SCALING_AUTO	(0 << 26)
+#define   PFIT_SCALING_PROGRAMMED (1 << 26)
+#define   PFIT_SCALING_PILLAR	(2 << 26)
+#define   PFIT_SCALING_LETTER	(3 << 26)
+#define PFIT_PGM_RATIOS	0x61234
+#define   PFIT_VERT_SCALE_MASK			0xfff00000
+#define   PFIT_HORIZ_SCALE_MASK			0x0000fff0
+/* Pre-965 */
+#define		PFIT_VERT_SCALE_SHIFT		20
+#define		PFIT_VERT_SCALE_MASK		0xfff00000
+#define		PFIT_HORIZ_SCALE_SHIFT		4
+#define		PFIT_HORIZ_SCALE_MASK		0x0000fff0
+/* 965+ */
+#define		PFIT_VERT_SCALE_SHIFT_965	16
+#define		PFIT_VERT_SCALE_MASK_965	0x1fff0000
+#define		PFIT_HORIZ_SCALE_SHIFT_965	0
+#define		PFIT_HORIZ_SCALE_MASK_965	0x00001fff
+
+#define PFIT_AUTO_RATIOS 0x61238
+
+/* Backlight control */
+#define BLC_PWM_CTL		0x61254
+#define   BACKLIGHT_MODULATION_FREQ_SHIFT		(17)
+#define BLC_PWM_CTL2		0x61250 /* 965+ only */
+#define   BLM_COMBINATION_MODE (1 << 30)
+/*
+ * This is the most significant 15 bits of the number of backlight cycles in a
+ * complete cycle of the modulated backlight control.
+ *
+ * The actual value is this field multiplied by two.
+ */
+#define   BACKLIGHT_MODULATION_FREQ_MASK		(0x7fff << 17)
+#define   BLM_LEGACY_MODE				(1 << 16)
+/*
+ * This is the number of cycles out of the backlight modulation cycle for which
+ * the backlight is on.
+ *
+ * This field must be no greater than the number of cycles in the complete
+ * backlight modulation cycle.
+ */
+#define   BACKLIGHT_DUTY_CYCLE_SHIFT		(0)
+#define   BACKLIGHT_DUTY_CYCLE_MASK		(0xffff)
+
+#define BLC_HIST_CTL		0x61260
+
+/* TV port control */
+#define TV_CTL			0x68000
+/** Enables the TV encoder */
+# define TV_ENC_ENABLE			(1 << 31)
+/** Sources the TV encoder input from pipe B instead of A. */
+# define TV_ENC_PIPEB_SELECT		(1 << 30)
+/** Outputs composite video (DAC A only) */
+# define TV_ENC_OUTPUT_COMPOSITE	(0 << 28)
+/** Outputs SVideo video (DAC B/C) */
+# define TV_ENC_OUTPUT_SVIDEO		(1 << 28)
+/** Outputs Component video (DAC A/B/C) */
+# define TV_ENC_OUTPUT_COMPONENT	(2 << 28)
+/** Outputs Composite and SVideo (DAC A/B/C) */
+# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE	(3 << 28)
+# define TV_TRILEVEL_SYNC		(1 << 21)
+/** Enables slow sync generation (945GM only) */
+# define TV_SLOW_SYNC			(1 << 20)
+/** Selects 4x oversampling for 480i and 576p */
+# define TV_OVERSAMPLE_4X		(0 << 18)
+/** Selects 2x oversampling for 720p and 1080i */
+# define TV_OVERSAMPLE_2X		(1 << 18)
+/** Selects no oversampling for 1080p */
+# define TV_OVERSAMPLE_NONE		(2 << 18)
+/** Selects 8x oversampling */
+# define TV_OVERSAMPLE_8X		(3 << 18)
+/** Selects progressive mode rather than interlaced */
+# define TV_PROGRESSIVE			(1 << 17)
+/** Sets the colorburst to PAL mode.  Required for non-M PAL modes. */
+# define TV_PAL_BURST			(1 << 16)
+/** Field for setting delay of Y compared to C */
+# define TV_YC_SKEW_MASK		(7 << 12)
+/** Enables a fix for 480p/576p standard definition modes on the 915GM only */
+# define TV_ENC_SDP_FIX			(1 << 11)
+/**
+ * Enables a fix for the 915GM only.
+ *
+ * Not sure what it does.
+ */
+# define TV_ENC_C0_FIX			(1 << 10)
+/** Bits that must be preserved by software */
+# define TV_CTL_SAVE			((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
+# define TV_FUSE_STATE_MASK		(3 << 4)
+/** Read-only state that reports all features enabled */
+# define TV_FUSE_STATE_ENABLED		(0 << 4)
+/** Read-only state that reports that Macrovision is disabled in hardware*/
+# define TV_FUSE_STATE_NO_MACROVISION	(1 << 4)
+/** Read-only state that reports that TV-out is disabled in hardware. */
+# define TV_FUSE_STATE_DISABLED		(2 << 4)
+/** Normal operation */
+# define TV_TEST_MODE_NORMAL		(0 << 0)
+/** Encoder test pattern 1 - combo pattern */
+# define TV_TEST_MODE_PATTERN_1		(1 << 0)
+/** Encoder test pattern 2 - full screen vertical 75% color bars */
+# define TV_TEST_MODE_PATTERN_2		(2 << 0)
+/** Encoder test pattern 3 - full screen horizontal 75% color bars */
+# define TV_TEST_MODE_PATTERN_3		(3 << 0)
+/** Encoder test pattern 4 - random noise */
+# define TV_TEST_MODE_PATTERN_4		(4 << 0)
+/** Encoder test pattern 5 - linear color ramps */
+# define TV_TEST_MODE_PATTERN_5		(5 << 0)
+/**
+ * This test mode forces the DACs to 50% of full output.
+ *
+ * This is used for load detection in combination with TVDAC_SENSE_MASK
+ */
+# define TV_TEST_MODE_MONITOR_DETECT	(7 << 0)
+# define TV_TEST_MODE_MASK		(7 << 0)
+
+#define TV_DAC			0x68004
+# define TV_DAC_SAVE		0x00ffff00
+/**
+ * Reports that DAC state change logic has reported change (RO).
+ *
+ * This gets cleared when TV_DAC_STATE_EN is cleared
+*/
+# define TVDAC_STATE_CHG		(1 << 31)
+# define TVDAC_SENSE_MASK		(7 << 28)
+/** Reports that DAC A voltage is above the detect threshold */
+# define TVDAC_A_SENSE			(1 << 30)
+/** Reports that DAC B voltage is above the detect threshold */
+# define TVDAC_B_SENSE			(1 << 29)
+/** Reports that DAC C voltage is above the detect threshold */
+# define TVDAC_C_SENSE			(1 << 28)
+/**
+ * Enables DAC state detection logic, for load-based TV detection.
+ *
+ * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
+ * to off, for load detection to work.
+ */
+# define TVDAC_STATE_CHG_EN		(1 << 27)
+/** Sets the DAC A sense value to high */
+# define TVDAC_A_SENSE_CTL		(1 << 26)
+/** Sets the DAC B sense value to high */
+# define TVDAC_B_SENSE_CTL		(1 << 25)
+/** Sets the DAC C sense value to high */
+# define TVDAC_C_SENSE_CTL		(1 << 24)
+/** Overrides the ENC_ENABLE and DAC voltage levels */
+# define DAC_CTL_OVERRIDE		(1 << 7)
+/** Sets the slew rate.  Must be preserved in software */
+# define ENC_TVDAC_SLEW_FAST		(1 << 6)
+# define DAC_A_1_3_V			(0 << 4)
+# define DAC_A_1_1_V			(1 << 4)
+# define DAC_A_0_7_V			(2 << 4)
+# define DAC_A_MASK			(3 << 4)
+# define DAC_B_1_3_V			(0 << 2)
+# define DAC_B_1_1_V			(1 << 2)
+# define DAC_B_0_7_V			(2 << 2)
+# define DAC_B_MASK			(3 << 2)
+# define DAC_C_1_3_V			(0 << 0)
+# define DAC_C_1_1_V			(1 << 0)
+# define DAC_C_0_7_V			(2 << 0)
+# define DAC_C_MASK			(3 << 0)
+
+/**
+ * CSC coefficients are stored in a floating point format with 9 bits of
+ * mantissa and 2 or 3 bits of exponent.  The exponent is represented as 2**-n,
+ * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
+ * -1 (0x3) being the only legal negative value.
+ */
+#define TV_CSC_Y		0x68010
+# define TV_RY_MASK			0x07ff0000
+# define TV_RY_SHIFT			16
+# define TV_GY_MASK			0x00000fff
+# define TV_GY_SHIFT			0
+
+#define TV_CSC_Y2		0x68014
+# define TV_BY_MASK			0x07ff0000
+# define TV_BY_SHIFT			16
+/**
+ * Y attenuation for component video.
+ *
+ * Stored in 1.9 fixed point.
+ */
+# define TV_AY_MASK			0x000003ff
+# define TV_AY_SHIFT			0
+
+#define TV_CSC_U		0x68018
+# define TV_RU_MASK			0x07ff0000
+# define TV_RU_SHIFT			16
+# define TV_GU_MASK			0x000007ff
+# define TV_GU_SHIFT			0
+
+#define TV_CSC_U2		0x6801c
+# define TV_BU_MASK			0x07ff0000
+# define TV_BU_SHIFT			16
+/**
+ * U attenuation for component video.
+ *
+ * Stored in 1.9 fixed point.
+ */
+# define TV_AU_MASK			0x000003ff
+# define TV_AU_SHIFT			0
+
+#define TV_CSC_V		0x68020
+# define TV_RV_MASK			0x0fff0000
+# define TV_RV_SHIFT			16
+# define TV_GV_MASK			0x000007ff
+# define TV_GV_SHIFT			0
+
+#define TV_CSC_V2		0x68024
+# define TV_BV_MASK			0x07ff0000
+# define TV_BV_SHIFT			16
+/**
+ * V attenuation for component video.
+ *
+ * Stored in 1.9 fixed point.
+ */
+# define TV_AV_MASK			0x000007ff
+# define TV_AV_SHIFT			0
+
+#define TV_CLR_KNOBS		0x68028
+/** 2s-complement brightness adjustment */
+# define TV_BRIGHTNESS_MASK		0xff000000
+# define TV_BRIGHTNESS_SHIFT		24
+/** Contrast adjustment, as a 2.6 unsigned floating point number */
+# define TV_CONTRAST_MASK		0x00ff0000
+# define TV_CONTRAST_SHIFT		16
+/** Saturation adjustment, as a 2.6 unsigned floating point number */
+# define TV_SATURATION_MASK		0x0000ff00
+# define TV_SATURATION_SHIFT		8
+/** Hue adjustment, as an integer phase angle in degrees */
+# define TV_HUE_MASK			0x000000ff
+# define TV_HUE_SHIFT			0
+
+#define TV_CLR_LEVEL		0x6802c
+/** Controls the DAC level for black */
+# define TV_BLACK_LEVEL_MASK		0x01ff0000
+# define TV_BLACK_LEVEL_SHIFT		16
+/** Controls the DAC level for blanking */
+# define TV_BLANK_LEVEL_MASK		0x000001ff
+# define TV_BLANK_LEVEL_SHIFT		0
+
+#define TV_H_CTL_1		0x68030
+/** Number of pixels in the hsync. */
+# define TV_HSYNC_END_MASK		0x1fff0000
+# define TV_HSYNC_END_SHIFT		16
+/** Total number of pixels minus one in the line (display and blanking). */
+# define TV_HTOTAL_MASK			0x00001fff
+# define TV_HTOTAL_SHIFT		0
+
+#define TV_H_CTL_2		0x68034
+/** Enables the colorburst (needed for non-component color) */
+# define TV_BURST_ENA			(1 << 31)
+/** Offset of the colorburst from the start of hsync, in pixels minus one. */
+# define TV_HBURST_START_SHIFT		16
+# define TV_HBURST_START_MASK		0x1fff0000
+/** Length of the colorburst */
+# define TV_HBURST_LEN_SHIFT		0
+# define TV_HBURST_LEN_MASK		0x0001fff
+
+#define TV_H_CTL_3		0x68038
+/** End of hblank, measured in pixels minus one from start of hsync */
+# define TV_HBLANK_END_SHIFT		16
+# define TV_HBLANK_END_MASK		0x1fff0000
+/** Start of hblank, measured in pixels minus one from start of hsync */
+# define TV_HBLANK_START_SHIFT		0
+# define TV_HBLANK_START_MASK		0x0001fff
+
+#define TV_V_CTL_1		0x6803c
+/** XXX */
+# define TV_NBR_END_SHIFT		16
+# define TV_NBR_END_MASK		0x07ff0000
+/** XXX */
+# define TV_VI_END_F1_SHIFT		8
+# define TV_VI_END_F1_MASK		0x00003f00
+/** XXX */
+# define TV_VI_END_F2_SHIFT		0
+# define TV_VI_END_F2_MASK		0x0000003f
+
+#define TV_V_CTL_2		0x68040
+/** Length of vsync, in half lines */
+# define TV_VSYNC_LEN_MASK		0x07ff0000
+# define TV_VSYNC_LEN_SHIFT		16
+/** Offset of the start of vsync in field 1, measured in one less than the
+ * number of half lines.
+ */
+# define TV_VSYNC_START_F1_MASK		0x00007f00
+# define TV_VSYNC_START_F1_SHIFT	8
+/**
+ * Offset of the start of vsync in field 2, measured in one less than the
+ * number of half lines.
+ */
+# define TV_VSYNC_START_F2_MASK		0x0000007f
+# define TV_VSYNC_START_F2_SHIFT	0
+
+#define TV_V_CTL_3		0x68044
+/** Enables generation of the equalization signal */
+# define TV_EQUAL_ENA			(1 << 31)
+/** Length of vsync, in half lines */
+# define TV_VEQ_LEN_MASK		0x007f0000
+# define TV_VEQ_LEN_SHIFT		16
+/** Offset of the start of equalization in field 1, measured in one less than
+ * the number of half lines.
+ */
+# define TV_VEQ_START_F1_MASK		0x0007f00
+# define TV_VEQ_START_F1_SHIFT		8
+/**
+ * Offset of the start of equalization in field 2, measured in one less than
+ * the number of half lines.
+ */
+# define TV_VEQ_START_F2_MASK		0x000007f
+# define TV_VEQ_START_F2_SHIFT		0
+
+#define TV_V_CTL_4		0x68048
+/**
+ * Offset to start of vertical colorburst, measured in one less than the
+ * number of lines from vertical start.
+ */
+# define TV_VBURST_START_F1_MASK	0x003f0000
+# define TV_VBURST_START_F1_SHIFT	16
+/**
+ * Offset to the end of vertical colorburst, measured in one less than the
+ * number of lines from the start of NBR.
+ */
+# define TV_VBURST_END_F1_MASK		0x000000ff
+# define TV_VBURST_END_F1_SHIFT		0
+
+#define TV_V_CTL_5		0x6804c
+/**
+ * Offset to start of vertical colorburst, measured in one less than the
+ * number of lines from vertical start.
+ */
+# define TV_VBURST_START_F2_MASK	0x003f0000
+# define TV_VBURST_START_F2_SHIFT	16
+/**
+ * Offset to the end of vertical colorburst, measured in one less than the
+ * number of lines from the start of NBR.
+ */
+# define TV_VBURST_END_F2_MASK		0x000000ff
+# define TV_VBURST_END_F2_SHIFT		0
+
+#define TV_V_CTL_6		0x68050
+/**
+ * Offset to start of vertical colorburst, measured in one less than the
+ * number of lines from vertical start.
+ */
+# define TV_VBURST_START_F3_MASK	0x003f0000
+# define TV_VBURST_START_F3_SHIFT	16
+/**
+ * Offset to the end of vertical colorburst, measured in one less than the
+ * number of lines from the start of NBR.
+ */
+# define TV_VBURST_END_F3_MASK		0x000000ff
+# define TV_VBURST_END_F3_SHIFT		0
+
+#define TV_V_CTL_7		0x68054
+/**
+ * Offset to start of vertical colorburst, measured in one less than the
+ * number of lines from vertical start.
+ */
+# define TV_VBURST_START_F4_MASK	0x003f0000
+# define TV_VBURST_START_F4_SHIFT	16
+/**
+ * Offset to the end of vertical colorburst, measured in one less than the
+ * number of lines from the start of NBR.
+ */
+# define TV_VBURST_END_F4_MASK		0x000000ff
+# define TV_VBURST_END_F4_SHIFT		0
+
+#define TV_SC_CTL_1		0x68060
+/** Turns on the first subcarrier phase generation DDA */
+# define TV_SC_DDA1_EN			(1 << 31)
+/** Turns on the first subcarrier phase generation DDA */
+# define TV_SC_DDA2_EN			(1 << 30)
+/** Turns on the first subcarrier phase generation DDA */
+# define TV_SC_DDA3_EN			(1 << 29)
+/** Sets the subcarrier DDA to reset frequency every other field */
+# define TV_SC_RESET_EVERY_2		(0 << 24)
+/** Sets the subcarrier DDA to reset frequency every fourth field */
+# define TV_SC_RESET_EVERY_4		(1 << 24)
+/** Sets the subcarrier DDA to reset frequency every eighth field */
+# define TV_SC_RESET_EVERY_8		(2 << 24)
+/** Sets the subcarrier DDA to never reset the frequency */
+# define TV_SC_RESET_NEVER		(3 << 24)
+/** Sets the peak amplitude of the colorburst.*/
+# define TV_BURST_LEVEL_MASK		0x00ff0000
+# define TV_BURST_LEVEL_SHIFT		16
+/** Sets the increment of the first subcarrier phase generation DDA */
+# define TV_SCDDA1_INC_MASK		0x00000fff
+# define TV_SCDDA1_INC_SHIFT		0
+
+#define TV_SC_CTL_2		0x68064
+/** Sets the rollover for the second subcarrier phase generation DDA */
+# define TV_SCDDA2_SIZE_MASK		0x7fff0000
+# define TV_SCDDA2_SIZE_SHIFT		16
+/** Sets the increent of the second subcarrier phase generation DDA */
+# define TV_SCDDA2_INC_MASK		0x00007fff
+# define TV_SCDDA2_INC_SHIFT		0
+
+#define TV_SC_CTL_3		0x68068
+/** Sets the rollover for the third subcarrier phase generation DDA */
+# define TV_SCDDA3_SIZE_MASK		0x7fff0000
+# define TV_SCDDA3_SIZE_SHIFT		16
+/** Sets the increent of the third subcarrier phase generation DDA */
+# define TV_SCDDA3_INC_MASK		0x00007fff
+# define TV_SCDDA3_INC_SHIFT		0
+
+#define TV_WIN_POS		0x68070
+/** X coordinate of the display from the start of horizontal active */
+# define TV_XPOS_MASK			0x1fff0000
+# define TV_XPOS_SHIFT			16
+/** Y coordinate of the display from the start of vertical active (NBR) */
+# define TV_YPOS_MASK			0x00000fff
+# define TV_YPOS_SHIFT			0
+
+#define TV_WIN_SIZE		0x68074
+/** Horizontal size of the display window, measured in pixels*/
+# define TV_XSIZE_MASK			0x1fff0000
+# define TV_XSIZE_SHIFT			16
+/**
+ * Vertical size of the display window, measured in pixels.
+ *
+ * Must be even for interlaced modes.
+ */
+# define TV_YSIZE_MASK			0x00000fff
+# define TV_YSIZE_SHIFT			0
+
+#define TV_FILTER_CTL_1		0x68080
+/**
+ * Enables automatic scaling calculation.
+ *
+ * If set, the rest of the registers are ignored, and the calculated values can
+ * be read back from the register.
+ */
+# define TV_AUTO_SCALE			(1 << 31)
+/**
+ * Disables the vertical filter.
+ *
+ * This is required on modes more than 1024 pixels wide */
+# define TV_V_FILTER_BYPASS		(1 << 29)
+/** Enables adaptive vertical filtering */
+# define TV_VADAPT			(1 << 28)
+# define TV_VADAPT_MODE_MASK		(3 << 26)
+/** Selects the least adaptive vertical filtering mode */
+# define TV_VADAPT_MODE_LEAST		(0 << 26)
+/** Selects the moderately adaptive vertical filtering mode */
+# define TV_VADAPT_MODE_MODERATE	(1 << 26)
+/** Selects the most adaptive vertical filtering mode */
+# define TV_VADAPT_MODE_MOST		(3 << 26)
+/**
+ * Sets the horizontal scaling factor.
+ *
+ * This should be the fractional part of the horizontal scaling factor divided
+ * by the oversampling rate.  TV_HSCALE should be less than 1, and set to:
+ *
+ * (src width - 1) / ((oversample * dest width) - 1)
+ */
+# define TV_HSCALE_FRAC_MASK		0x00003fff
+# define TV_HSCALE_FRAC_SHIFT		0
+
+#define TV_FILTER_CTL_2		0x68084
+/**
+ * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
+ *
+ * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
+ */
+# define TV_VSCALE_INT_MASK		0x00038000
+# define TV_VSCALE_INT_SHIFT		15
+/**
+ * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
+ *
+ * \sa TV_VSCALE_INT_MASK
+ */
+# define TV_VSCALE_FRAC_MASK		0x00007fff
+# define TV_VSCALE_FRAC_SHIFT		0
+
+#define TV_FILTER_CTL_3		0x68088
+/**
+ * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
+ *
+ * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
+ *
+ * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
+ */
+# define TV_VSCALE_IP_INT_MASK		0x00038000
+# define TV_VSCALE_IP_INT_SHIFT		15
+/**
+ * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
+ *
+ * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
+ *
+ * \sa TV_VSCALE_IP_INT_MASK
+ */
+# define TV_VSCALE_IP_FRAC_MASK		0x00007fff
+# define TV_VSCALE_IP_FRAC_SHIFT		0
+
+#define TV_CC_CONTROL		0x68090
+# define TV_CC_ENABLE			(1 << 31)
+/**
+ * Specifies which field to send the CC data in.
+ *
+ * CC data is usually sent in field 0.
+ */
+# define TV_CC_FID_MASK			(1 << 27)
+# define TV_CC_FID_SHIFT		27
+/** Sets the horizontal position of the CC data.  Usually 135. */
+# define TV_CC_HOFF_MASK		0x03ff0000
+# define TV_CC_HOFF_SHIFT		16
+/** Sets the vertical position of the CC data.  Usually 21 */
+# define TV_CC_LINE_MASK		0x0000003f
+# define TV_CC_LINE_SHIFT		0
+
+#define TV_CC_DATA		0x68094
+# define TV_CC_RDY			(1 << 31)
+/** Second word of CC data to be transmitted. */
+# define TV_CC_DATA_2_MASK		0x007f0000
+# define TV_CC_DATA_2_SHIFT		16
+/** First word of CC data to be transmitted. */
+# define TV_CC_DATA_1_MASK		0x0000007f
+# define TV_CC_DATA_1_SHIFT		0
+
+#define TV_H_LUMA_0		0x68100
+#define TV_H_LUMA_59		0x681ec
+#define TV_H_CHROMA_0		0x68200
+#define TV_H_CHROMA_59		0x682ec
+#define TV_V_LUMA_0		0x68300
+#define TV_V_LUMA_42		0x683a8
+#define TV_V_CHROMA_0		0x68400
+#define TV_V_CHROMA_42		0x684a8
+
+/* Display Port */
+#define DP_A				0x64000 /* eDP */
+#define DP_B				0x64100
+#define DP_C				0x64200
+#define DP_D				0x64300
+
+#define   DP_PORT_EN			(1 << 31)
+#define   DP_PIPEB_SELECT		(1 << 30)
+#define   DP_PIPE_MASK			(1 << 30)
+
+/* Link training mode - select a suitable mode for each stage */
+#define   DP_LINK_TRAIN_PAT_1		(0 << 28)
+#define   DP_LINK_TRAIN_PAT_2		(1 << 28)
+#define   DP_LINK_TRAIN_PAT_IDLE	(2 << 28)
+#define   DP_LINK_TRAIN_OFF		(3 << 28)
+#define   DP_LINK_TRAIN_MASK		(3 << 28)
+#define   DP_LINK_TRAIN_SHIFT		28
+
+/* CPT Link training mode */
+#define   DP_LINK_TRAIN_PAT_1_CPT	(0 << 8)
+#define   DP_LINK_TRAIN_PAT_2_CPT	(1 << 8)
+#define   DP_LINK_TRAIN_PAT_IDLE_CPT	(2 << 8)
+#define   DP_LINK_TRAIN_OFF_CPT		(3 << 8)
+#define   DP_LINK_TRAIN_MASK_CPT	(7 << 8)
+#define   DP_LINK_TRAIN_SHIFT_CPT	8
+
+/* Signal voltages. These are mostly controlled by the other end */
+#define   DP_VOLTAGE_0_4		(0 << 25)
+#define   DP_VOLTAGE_0_6		(1 << 25)
+#define   DP_VOLTAGE_0_8		(2 << 25)
+#define   DP_VOLTAGE_1_2		(3 << 25)
+#define   DP_VOLTAGE_MASK		(7 << 25)
+#define   DP_VOLTAGE_SHIFT		25
+
+/* Signal pre-emphasis levels, like voltages, the other end tells us what
+ * they want
+ */
+#define   DP_PRE_EMPHASIS_0		(0 << 22)
+#define   DP_PRE_EMPHASIS_3_5		(1 << 22)
+#define   DP_PRE_EMPHASIS_6		(2 << 22)
+#define   DP_PRE_EMPHASIS_9_5		(3 << 22)
+#define   DP_PRE_EMPHASIS_MASK		(7 << 22)
+#define   DP_PRE_EMPHASIS_SHIFT		22
+
+/* How many wires to use. I guess 3 was too hard */
+#define   DP_PORT_WIDTH_1		(0 << 19)
+#define   DP_PORT_WIDTH_2		(1 << 19)
+#define   DP_PORT_WIDTH_4		(3 << 19)
+#define   DP_PORT_WIDTH_MASK		(7 << 19)
+
+/* Mystic DPCD version 1.1 special mode */
+#define   DP_ENHANCED_FRAMING		(1 << 18)
+
+/* eDP */
+#define   DP_PLL_FREQ_270MHZ		(0 << 16)
+#define   DP_PLL_FREQ_160MHZ		(1 << 16)
+#define   DP_PLL_FREQ_MASK		(3 << 16)
+
+/** locked once port is enabled */
+#define   DP_PORT_REVERSAL		(1 << 15)
+
+/* eDP */
+#define   DP_PLL_ENABLE			(1 << 14)
+
+/** sends the clock on lane 15 of the PEG for debug */
+#define   DP_CLOCK_OUTPUT_ENABLE	(1 << 13)
+
+#define   DP_SCRAMBLING_DISABLE		(1 << 12)
+#define   DP_SCRAMBLING_DISABLE_IRONLAKE	(1 << 7)
+
+/** limit RGB values to avoid confusing TVs */
+#define   DP_COLOR_RANGE_16_235		(1 << 8)
+
+/** Turn on the audio link */
+#define   DP_AUDIO_OUTPUT_ENABLE	(1 << 6)
+
+/** vs and hs sync polarity */
+#define   DP_SYNC_VS_HIGH		(1 << 4)
+#define   DP_SYNC_HS_HIGH		(1 << 3)
+
+/** A fantasy */
+#define   DP_DETECTED			(1 << 2)
+
+/** The aux channel provides a way to talk to the
+ * signal sink for DDC etc. Max packet size supported
+ * is 20 bytes in each direction, hence the 5 fixed
+ * data registers
+ */
+#define DPA_AUX_CH_CTL			0x64010
+#define DPA_AUX_CH_DATA1		0x64014
+#define DPA_AUX_CH_DATA2		0x64018
+#define DPA_AUX_CH_DATA3		0x6401c
+#define DPA_AUX_CH_DATA4		0x64020
+#define DPA_AUX_CH_DATA5		0x64024
+
+#define DPB_AUX_CH_CTL			0x64110
+#define DPB_AUX_CH_DATA1		0x64114
+#define DPB_AUX_CH_DATA2		0x64118
+#define DPB_AUX_CH_DATA3		0x6411c
+#define DPB_AUX_CH_DATA4		0x64120
+#define DPB_AUX_CH_DATA5		0x64124
+
+#define DPC_AUX_CH_CTL			0x64210
+#define DPC_AUX_CH_DATA1		0x64214
+#define DPC_AUX_CH_DATA2		0x64218
+#define DPC_AUX_CH_DATA3		0x6421c
+#define DPC_AUX_CH_DATA4		0x64220
+#define DPC_AUX_CH_DATA5		0x64224
+
+#define DPD_AUX_CH_CTL			0x64310
+#define DPD_AUX_CH_DATA1		0x64314
+#define DPD_AUX_CH_DATA2		0x64318
+#define DPD_AUX_CH_DATA3		0x6431c
+#define DPD_AUX_CH_DATA4		0x64320
+#define DPD_AUX_CH_DATA5		0x64324
+
+#define   DP_AUX_CH_CTL_SEND_BUSY	    (1 << 31)
+#define   DP_AUX_CH_CTL_DONE		    (1 << 30)
+#define   DP_AUX_CH_CTL_INTERRUPT	    (1 << 29)
+#define   DP_AUX_CH_CTL_TIME_OUT_ERROR	    (1 << 28)
+#define   DP_AUX_CH_CTL_TIME_OUT_400us	    (0 << 26)
+#define   DP_AUX_CH_CTL_TIME_OUT_600us	    (1 << 26)
+#define   DP_AUX_CH_CTL_TIME_OUT_800us	    (2 << 26)
+#define   DP_AUX_CH_CTL_TIME_OUT_1600us	    (3 << 26)
+#define   DP_AUX_CH_CTL_TIME_OUT_MASK	    (3 << 26)
+#define   DP_AUX_CH_CTL_RECEIVE_ERROR	    (1 << 25)
+#define   DP_AUX_CH_CTL_MESSAGE_SIZE_MASK    (0x1f << 20)
+#define   DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT   20
+#define   DP_AUX_CH_CTL_PRECHARGE_2US_MASK   (0xf << 16)
+#define   DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT  16
+#define   DP_AUX_CH_CTL_AUX_AKSV_SELECT	    (1 << 15)
+#define   DP_AUX_CH_CTL_MANCHESTER_TEST	    (1 << 14)
+#define   DP_AUX_CH_CTL_SYNC_TEST	    (1 << 13)
+#define   DP_AUX_CH_CTL_DEGLITCH_TEST	    (1 << 12)
+#define   DP_AUX_CH_CTL_PRECHARGE_TEST	    (1 << 11)
+#define   DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK    (0x7ff)
+#define   DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT   0
+
+/*
+ * Computing GMCH M and N values for the Display Port link
+ *
+ * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
+ *
+ * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
+ *
+ * The GMCH value is used internally
+ *
+ * bytes_per_pixel is the number of bytes coming out of the plane,
+ * which is after the LUTs, so we want the bytes for our color format.
+ * For our current usage, this is always 3, one byte for R, G and B.
+ */
+#define _PIPEA_GMCH_DATA_M			0x70050
+#define _PIPEB_GMCH_DATA_M			0x71050
+
+/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
+#define   PIPE_GMCH_DATA_M_TU_SIZE_MASK		(0x3f << 25)
+#define   PIPE_GMCH_DATA_M_TU_SIZE_SHIFT	25
+
+#define   PIPE_GMCH_DATA_M_MASK			(0xffffff)
+
+#define _PIPEA_GMCH_DATA_N			0x70054
+#define _PIPEB_GMCH_DATA_N			0x71054
+#define   PIPE_GMCH_DATA_N_MASK			(0xffffff)
+
+/*
+ * Computing Link M and N values for the Display Port link
+ *
+ * Link M / N = pixel_clock / ls_clk
+ *
+ * (the DP spec calls pixel_clock the 'strm_clk')
+ *
+ * The Link value is transmitted in the Main Stream
+ * Attributes and VB-ID.
+ */
+
+#define _PIPEA_DP_LINK_M				0x70060
+#define _PIPEB_DP_LINK_M				0x71060
+#define   PIPEA_DP_LINK_M_MASK			(0xffffff)
+
+#define _PIPEA_DP_LINK_N				0x70064
+#define _PIPEB_DP_LINK_N				0x71064
+#define   PIPEA_DP_LINK_N_MASK			(0xffffff)
+
+#define PIPE_GMCH_DATA_M(pipe) _PIPE(pipe, _PIPEA_GMCH_DATA_M, _PIPEB_GMCH_DATA_M)
+#define PIPE_GMCH_DATA_N(pipe) _PIPE(pipe, _PIPEA_GMCH_DATA_N, _PIPEB_GMCH_DATA_N)
+#define PIPE_DP_LINK_M(pipe) _PIPE(pipe, _PIPEA_DP_LINK_M, _PIPEB_DP_LINK_M)
+#define PIPE_DP_LINK_N(pipe) _PIPE(pipe, _PIPEA_DP_LINK_N, _PIPEB_DP_LINK_N)
+
+/* Display & cursor control */
+
+/* Pipe A */
+#define _PIPEADSL		0x70000
+#define   DSL_LINEMASK		0x00000fff
+#define _PIPEACONF		0x70008
+#define   PIPECONF_ENABLE	(1<<31)
+#define   PIPECONF_DISABLE	0
+#define   PIPECONF_DOUBLE_WIDE	(1<<30)
+#define   I965_PIPECONF_ACTIVE	(1<<30)
+#define   PIPECONF_FRAME_START_DELAY_MASK (3<<27)
+#define   PIPECONF_SINGLE_WIDE	0
+#define   PIPECONF_PIPE_UNLOCKED 0
+#define   PIPECONF_PIPE_LOCKED	(1<<25)
+#define   PIPECONF_PALETTE	0
+#define   PIPECONF_GAMMA		(1<<24)
+#define   PIPECONF_FORCE_BORDER	(1<<25)
+#define   PIPECONF_INTERLACE_MASK	(7 << 21)
+/* Note that pre-gen3 does not support interlaced display directly. Panel
+ * fitting must be disabled on pre-ilk for interlaced. */
+#define   PIPECONF_PROGRESSIVE			(0 << 21)
+#define   PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL	(4 << 21) /* gen4 only */
+#define   PIPECONF_INTERLACE_W_SYNC_SHIFT	(5 << 21) /* gen4 only */
+#define   PIPECONF_INTERLACE_W_FIELD_INDICATION	(6 << 21)
+#define   PIPECONF_INTERLACE_FIELD_0_ONLY	(7 << 21) /* gen3 only */
+/* Ironlake and later have a complete new set of values for interlaced. PFIT
+ * means panel fitter required, PF means progressive fetch, DBL means power
+ * saving pixel doubling. */
+#define   PIPECONF_PFIT_PF_INTERLACED_ILK	(1 << 21)
+#define   PIPECONF_INTERLACED_ILK		(3 << 21)
+#define   PIPECONF_INTERLACED_DBL_ILK		(4 << 21) /* ilk/snb only */
+#define   PIPECONF_PFIT_PF_INTERLACED_DBL_ILK	(5 << 21) /* ilk/snb only */
+#define   PIPECONF_CXSR_DOWNCLOCK	(1<<16)
+#define   PIPECONF_BPP_MASK	(0x000000e0)
+#define   PIPECONF_BPP_8	(0<<5)
+#define   PIPECONF_BPP_10	(1<<5)
+#define   PIPECONF_BPP_6	(2<<5)
+#define   PIPECONF_BPP_12	(3<<5)
+#define   PIPECONF_DITHER_EN	(1<<4)
+#define   PIPECONF_DITHER_TYPE_MASK (0x0000000c)
+#define   PIPECONF_DITHER_TYPE_SP (0<<2)
+#define   PIPECONF_DITHER_TYPE_ST1 (1<<2)
+#define   PIPECONF_DITHER_TYPE_ST2 (2<<2)
+#define   PIPECONF_DITHER_TYPE_TEMP (3<<2)
+#define _PIPEASTAT		0x70024
+#define   PIPE_FIFO_UNDERRUN_STATUS		(1UL<<31)
+#define   PIPE_CRC_ERROR_ENABLE			(1UL<<29)
+#define   PIPE_CRC_DONE_ENABLE			(1UL<<28)
+#define   PIPE_GMBUS_EVENT_ENABLE		(1UL<<27)
+#define   PIPE_HOTPLUG_INTERRUPT_ENABLE		(1UL<<26)
+#define   PIPE_VSYNC_INTERRUPT_ENABLE		(1UL<<25)
+#define   PIPE_DISPLAY_LINE_COMPARE_ENABLE	(1UL<<24)
+#define   PIPE_DPST_EVENT_ENABLE		(1UL<<23)
+#define   PIPE_LEGACY_BLC_EVENT_ENABLE		(1UL<<22)
+#define   PIPE_ODD_FIELD_INTERRUPT_ENABLE	(1UL<<21)
+#define   PIPE_EVEN_FIELD_INTERRUPT_ENABLE	(1UL<<20)
+#define   PIPE_HOTPLUG_TV_INTERRUPT_ENABLE	(1UL<<18) /* pre-965 */
+#define   PIPE_START_VBLANK_INTERRUPT_ENABLE	(1UL<<18) /* 965 or later */
+#define   PIPE_VBLANK_INTERRUPT_ENABLE		(1UL<<17)
+#define   PIPE_OVERLAY_UPDATED_ENABLE		(1UL<<16)
+#define   PIPE_CRC_ERROR_INTERRUPT_STATUS	(1UL<<13)
+#define   PIPE_CRC_DONE_INTERRUPT_STATUS	(1UL<<12)
+#define   PIPE_GMBUS_INTERRUPT_STATUS		(1UL<<11)
+#define   PIPE_HOTPLUG_INTERRUPT_STATUS		(1UL<<10)
+#define   PIPE_VSYNC_INTERRUPT_STATUS		(1UL<<9)
+#define   PIPE_DISPLAY_LINE_COMPARE_STATUS	(1UL<<8)
+#define   PIPE_DPST_EVENT_STATUS		(1UL<<7)
+#define   PIPE_LEGACY_BLC_EVENT_STATUS		(1UL<<6)
+#define   PIPE_ODD_FIELD_INTERRUPT_STATUS	(1UL<<5)
+#define   PIPE_EVEN_FIELD_INTERRUPT_STATUS	(1UL<<4)
+#define   PIPE_HOTPLUG_TV_INTERRUPT_STATUS	(1UL<<2) /* pre-965 */
+#define   PIPE_START_VBLANK_INTERRUPT_STATUS	(1UL<<2) /* 965 or later */
+#define   PIPE_VBLANK_INTERRUPT_STATUS		(1UL<<1)
+#define   PIPE_OVERLAY_UPDATED_STATUS		(1UL<<0)
+#define   PIPE_BPC_MASK				(7 << 5) /* Ironlake */
+#define   PIPE_8BPC				(0 << 5)
+#define   PIPE_10BPC				(1 << 5)
+#define   PIPE_6BPC				(2 << 5)
+#define   PIPE_12BPC				(3 << 5)
+
+#define PIPESRC(pipe) _PIPE(pipe, _PIPEASRC, _PIPEBSRC)
+#define PIPECONF(pipe) _PIPE(pipe, _PIPEACONF, _PIPEBCONF)
+#define PIPEDSL(pipe)  _PIPE(pipe, _PIPEADSL, _PIPEBDSL)
+#define PIPEFRAME(pipe) _PIPE(pipe, _PIPEAFRAMEHIGH, _PIPEBFRAMEHIGH)
+#define PIPEFRAMEPIXEL(pipe)  _PIPE(pipe, _PIPEAFRAMEPIXEL, _PIPEBFRAMEPIXEL)
+#define PIPESTAT(pipe) _PIPE(pipe, _PIPEASTAT, _PIPEBSTAT)
+
+#define DSPARB			0x70030
+#define   DSPARB_CSTART_MASK	(0x7f << 7)
+#define   DSPARB_CSTART_SHIFT	7
+#define   DSPARB_BSTART_MASK	(0x7f)
+#define   DSPARB_BSTART_SHIFT	0
+#define   DSPARB_BEND_SHIFT	9 /* on 855 */
+#define   DSPARB_AEND_SHIFT	0
+
+#define DSPFW1			0x70034
+#define   DSPFW_SR_SHIFT	23
+#define   DSPFW_SR_MASK		(0x1ff<<23)
+#define   DSPFW_CURSORB_SHIFT	16
+#define   DSPFW_CURSORB_MASK	(0x3f<<16)
+#define   DSPFW_PLANEB_SHIFT	8
+#define   DSPFW_PLANEB_MASK	(0x7f<<8)
+#define   DSPFW_PLANEA_MASK	(0x7f)
+#define DSPFW2			0x70038
+#define   DSPFW_CURSORA_MASK	0x00003f00
+#define   DSPFW_CURSORA_SHIFT	8
+#define   DSPFW_PLANEC_MASK	(0x7f)
+#define DSPFW3			0x7003c
+#define   DSPFW_HPLL_SR_EN	(1<<31)
+#define   DSPFW_CURSOR_SR_SHIFT	24
+#define   PINEVIEW_SELF_REFRESH_EN	(1<<30)
+#define   DSPFW_CURSOR_SR_MASK		(0x3f<<24)
+#define   DSPFW_HPLL_CURSOR_SHIFT	16
+#define   DSPFW_HPLL_CURSOR_MASK	(0x3f<<16)
+#define   DSPFW_HPLL_SR_MASK		(0x1ff)
+
+/* FIFO watermark sizes etc */
+#define G4X_FIFO_LINE_SIZE	64
+#define I915_FIFO_LINE_SIZE	64
+#define I830_FIFO_LINE_SIZE	32
+
+#define G4X_FIFO_SIZE		127
+#define I965_FIFO_SIZE		512
+#define I945_FIFO_SIZE		127
+#define I915_FIFO_SIZE		95
+#define I855GM_FIFO_SIZE	127 /* In cachelines */
+#define I830_FIFO_SIZE		95
+
+#define G4X_MAX_WM		0x3f
+#define I915_MAX_WM		0x3f
+
+#define PINEVIEW_DISPLAY_FIFO	512 /* in 64byte unit */
+#define PINEVIEW_FIFO_LINE_SIZE	64
+#define PINEVIEW_MAX_WM		0x1ff
+#define PINEVIEW_DFT_WM		0x3f
+#define PINEVIEW_DFT_HPLLOFF_WM	0
+#define PINEVIEW_GUARD_WM		10
+#define PINEVIEW_CURSOR_FIFO		64
+#define PINEVIEW_CURSOR_MAX_WM	0x3f
+#define PINEVIEW_CURSOR_DFT_WM	0
+#define PINEVIEW_CURSOR_GUARD_WM	5
+
+#define I965_CURSOR_FIFO	64
+#define I965_CURSOR_MAX_WM	32
+#define I965_CURSOR_DFT_WM	8
+
+/* define the Watermark register on Ironlake */
+#define WM0_PIPEA_ILK		0x45100
+#define  WM0_PIPE_PLANE_MASK	(0x7f<<16)
+#define  WM0_PIPE_PLANE_SHIFT	16
+#define  WM0_PIPE_SPRITE_MASK	(0x3f<<8)
+#define  WM0_PIPE_SPRITE_SHIFT	8
+#define  WM0_PIPE_CURSOR_MASK	(0x1f)
+
+#define WM0_PIPEB_ILK		0x45104
+#define WM0_PIPEC_IVB		0x45200
+#define WM1_LP_ILK		0x45108
+#define  WM1_LP_SR_EN		(1<<31)
+#define  WM1_LP_LATENCY_SHIFT	24
+#define  WM1_LP_LATENCY_MASK	(0x7f<<24)
+#define  WM1_LP_FBC_MASK	(0xf<<20)
+#define  WM1_LP_FBC_SHIFT	20
+#define  WM1_LP_SR_MASK		(0x1ff<<8)
+#define  WM1_LP_SR_SHIFT	8
+#define  WM1_LP_CURSOR_MASK	(0x3f)
+#define WM2_LP_ILK		0x4510c
+#define  WM2_LP_EN		(1<<31)
+#define WM3_LP_ILK		0x45110
+#define  WM3_LP_EN		(1<<31)
+#define WM1S_LP_ILK		0x45120
+#define WM2S_LP_IVB		0x45124
+#define WM3S_LP_IVB		0x45128
+#define  WM1S_LP_EN		(1<<31)
+
+/* Memory latency timer register */
+#define MLTR_ILK		0x11222
+#define  MLTR_WM1_SHIFT		0
+#define  MLTR_WM2_SHIFT		8
+/* the unit of memory self-refresh latency time is 0.5us */
+#define  ILK_SRLT_MASK		0x3f
+#define ILK_LATENCY(shift)	(I915_READ(MLTR_ILK) >> (shift) & ILK_SRLT_MASK)
+#define ILK_READ_WM1_LATENCY()	ILK_LATENCY(MLTR_WM1_SHIFT)
+#define ILK_READ_WM2_LATENCY()	ILK_LATENCY(MLTR_WM2_SHIFT)
+
+/* define the fifo size on Ironlake */
+#define ILK_DISPLAY_FIFO	128
+#define ILK_DISPLAY_MAXWM	64
+#define ILK_DISPLAY_DFTWM	8
+#define ILK_CURSOR_FIFO		32
+#define ILK_CURSOR_MAXWM	16
+#define ILK_CURSOR_DFTWM	8
+
+#define ILK_DISPLAY_SR_FIFO	512
+#define ILK_DISPLAY_MAX_SRWM	0x1ff
+#define ILK_DISPLAY_DFT_SRWM	0x3f
+#define ILK_CURSOR_SR_FIFO	64
+#define ILK_CURSOR_MAX_SRWM	0x3f
+#define ILK_CURSOR_DFT_SRWM	8
+
+#define ILK_FIFO_LINE_SIZE	64
+
+/* define the WM info on Sandybridge */
+#define SNB_DISPLAY_FIFO	128
+#define SNB_DISPLAY_MAXWM	0x7f	/* bit 16:22 */
+#define SNB_DISPLAY_DFTWM	8
+#define SNB_CURSOR_FIFO		32
+#define SNB_CURSOR_MAXWM	0x1f	/* bit 4:0 */
+#define SNB_CURSOR_DFTWM	8
+
+#define SNB_DISPLAY_SR_FIFO	512
+#define SNB_DISPLAY_MAX_SRWM	0x1ff	/* bit 16:8 */
+#define SNB_DISPLAY_DFT_SRWM	0x3f
+#define SNB_CURSOR_SR_FIFO	64
+#define SNB_CURSOR_MAX_SRWM	0x3f	/* bit 5:0 */
+#define SNB_CURSOR_DFT_SRWM	8
+
+#define SNB_FBC_MAX_SRWM	0xf	/* bit 23:20 */
+
+#define SNB_FIFO_LINE_SIZE	64
+
+
+/* the address where we get all kinds of latency value */
+#define SSKPD			0x5d10
+#define SSKPD_WM_MASK		0x3f
+#define SSKPD_WM0_SHIFT		0
+#define SSKPD_WM1_SHIFT		8
+#define SSKPD_WM2_SHIFT		16
+#define SSKPD_WM3_SHIFT		24
+
+#define SNB_LATENCY(shift)	(I915_READ(MCHBAR_MIRROR_BASE_SNB + SSKPD) >> (shift) & SSKPD_WM_MASK)
+#define SNB_READ_WM0_LATENCY()		SNB_LATENCY(SSKPD_WM0_SHIFT)
+#define SNB_READ_WM1_LATENCY()		SNB_LATENCY(SSKPD_WM1_SHIFT)
+#define SNB_READ_WM2_LATENCY()		SNB_LATENCY(SSKPD_WM2_SHIFT)
+#define SNB_READ_WM3_LATENCY()		SNB_LATENCY(SSKPD_WM3_SHIFT)
+
+/*
+ * The two pipe frame counter registers are not synchronized, so
+ * reading a stable value is somewhat tricky. The following code
+ * should work:
+ *
+ *  do {
+ *    high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
+ *             PIPE_FRAME_HIGH_SHIFT;
+ *    low1 =  ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
+ *             PIPE_FRAME_LOW_SHIFT);
+ *    high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
+ *             PIPE_FRAME_HIGH_SHIFT);
+ *  } while (high1 != high2);
+ *  frame = (high1 << 8) | low1;
+ */
+#define _PIPEAFRAMEHIGH          0x70040
+#define   PIPE_FRAME_HIGH_MASK    0x0000ffff
+#define   PIPE_FRAME_HIGH_SHIFT   0
+#define _PIPEAFRAMEPIXEL         0x70044
+#define   PIPE_FRAME_LOW_MASK     0xff000000
+#define   PIPE_FRAME_LOW_SHIFT    24
+#define   PIPE_PIXEL_MASK         0x00ffffff
+#define   PIPE_PIXEL_SHIFT        0
+/* GM45+ just has to be different */
+#define _PIPEA_FRMCOUNT_GM45	0x70040
+#define _PIPEA_FLIPCOUNT_GM45	0x70044
+#define PIPE_FRMCOUNT_GM45(pipe) _PIPE(pipe, _PIPEA_FRMCOUNT_GM45, _PIPEB_FRMCOUNT_GM45)
+
+/* Cursor A & B regs */
+#define _CURACNTR		0x70080
+/* Old style CUR*CNTR flags (desktop 8xx) */
+#define   CURSOR_ENABLE		0x80000000
+#define   CURSOR_GAMMA_ENABLE	0x40000000
+#define   CURSOR_STRIDE_MASK	0x30000000
+#define   CURSOR_FORMAT_SHIFT	24
+#define   CURSOR_FORMAT_MASK	(0x07 << CURSOR_FORMAT_SHIFT)
+#define   CURSOR_FORMAT_2C	(0x00 << CURSOR_FORMAT_SHIFT)
+#define   CURSOR_FORMAT_3C	(0x01 << CURSOR_FORMAT_SHIFT)
+#define   CURSOR_FORMAT_4C	(0x02 << CURSOR_FORMAT_SHIFT)
+#define   CURSOR_FORMAT_ARGB	(0x04 << CURSOR_FORMAT_SHIFT)
+#define   CURSOR_FORMAT_XRGB	(0x05 << CURSOR_FORMAT_SHIFT)
+/* New style CUR*CNTR flags */
+#define   CURSOR_MODE		0x27
+#define   CURSOR_MODE_DISABLE   0x00
+#define   CURSOR_MODE_64_32B_AX 0x07
+#define   CURSOR_MODE_64_ARGB_AX ((1 << 5) | CURSOR_MODE_64_32B_AX)
+#define   MCURSOR_PIPE_SELECT	(1 << 28)
+#define   MCURSOR_PIPE_A	0x00
+#define   MCURSOR_PIPE_B	(1 << 28)
+#define   MCURSOR_GAMMA_ENABLE  (1 << 26)
+#define _CURABASE		0x70084
+#define _CURAPOS			0x70088
+#define   CURSOR_POS_MASK       0x007FF
+#define   CURSOR_POS_SIGN       0x8000
+#define   CURSOR_X_SHIFT        0
+#define   CURSOR_Y_SHIFT        16
+#define CURSIZE			0x700a0
+#define _CURBCNTR		0x700c0
+#define _CURBBASE		0x700c4
+#define _CURBPOS			0x700c8
+
+#define _CURBCNTR_IVB		0x71080
+#define _CURBBASE_IVB		0x71084
+#define _CURBPOS_IVB		0x71088
+
+#define CURCNTR(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR)
+#define CURBASE(pipe) _PIPE(pipe, _CURABASE, _CURBBASE)
+#define CURPOS(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS)
+
+#define CURCNTR_IVB(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR_IVB)
+#define CURBASE_IVB(pipe) _PIPE(pipe, _CURABASE, _CURBBASE_IVB)
+#define CURPOS_IVB(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS_IVB)
+
+/* Display A control */
+#define _DSPACNTR                0x70180
+#define   DISPLAY_PLANE_ENABLE			(1<<31)
+#define   DISPLAY_PLANE_DISABLE			0
+#define   DISPPLANE_GAMMA_ENABLE		(1<<30)
+#define   DISPPLANE_GAMMA_DISABLE		0
+#define   DISPPLANE_PIXFORMAT_MASK		(0xf<<26)
+#define   DISPPLANE_8BPP			(0x2<<26)
+#define   DISPPLANE_15_16BPP			(0x4<<26)
+#define   DISPPLANE_16BPP			(0x5<<26)
+#define   DISPPLANE_32BPP_NO_ALPHA		(0x6<<26)
+#define   DISPPLANE_32BPP			(0x7<<26)
+#define   DISPPLANE_32BPP_30BIT_NO_ALPHA	(0xa<<26)
+#define   DISPPLANE_STEREO_ENABLE		(1<<25)
+#define   DISPPLANE_STEREO_DISABLE		0
+#define   DISPPLANE_SEL_PIPE_SHIFT		24
+#define   DISPPLANE_SEL_PIPE_MASK		(3<<DISPPLANE_SEL_PIPE_SHIFT)
+#define   DISPPLANE_SEL_PIPE_A			0
+#define   DISPPLANE_SEL_PIPE_B			(1<<DISPPLANE_SEL_PIPE_SHIFT)
+#define   DISPPLANE_SRC_KEY_ENABLE		(1<<22)
+#define   DISPPLANE_SRC_KEY_DISABLE		0
+#define   DISPPLANE_LINE_DOUBLE			(1<<20)
+#define   DISPPLANE_NO_LINE_DOUBLE		0
+#define   DISPPLANE_STEREO_POLARITY_FIRST	0
+#define   DISPPLANE_STEREO_POLARITY_SECOND	(1<<18)
+#define   DISPPLANE_TRICKLE_FEED_DISABLE	(1<<14) /* Ironlake */
+#define   DISPPLANE_TILED			(1<<10)
+#define _DSPAADDR		0x70184
+#define _DSPASTRIDE		0x70188
+#define _DSPAPOS			0x7018C /* reserved */
+#define _DSPASIZE		0x70190
+#define _DSPASURF		0x7019C /* 965+ only */
+#define _DSPATILEOFF		0x701A4 /* 965+ only */
+
+#define DSPCNTR(plane) _PIPE(plane, _DSPACNTR, _DSPBCNTR)
+#define DSPADDR(plane) _PIPE(plane, _DSPAADDR, _DSPBADDR)
+#define DSPSTRIDE(plane) _PIPE(plane, _DSPASTRIDE, _DSPBSTRIDE)
+#define DSPPOS(plane) _PIPE(plane, _DSPAPOS, _DSPBPOS)
+#define DSPSIZE(plane) _PIPE(plane, _DSPASIZE, _DSPBSIZE)
+#define DSPSURF(plane) _PIPE(plane, _DSPASURF, _DSPBSURF)
+#define DSPTILEOFF(plane) _PIPE(plane, _DSPATILEOFF, _DSPBTILEOFF)
+
+/* VBIOS flags */
+#define SWF00			0x71410
+#define SWF01			0x71414
+#define SWF02			0x71418
+#define SWF03			0x7141c
+#define SWF04			0x71420
+#define SWF05			0x71424
+#define SWF06			0x71428
+#define SWF10			0x70410
+#define SWF11			0x70414
+#define SWF14			0x71420
+#define SWF30			0x72414
+#define SWF31			0x72418
+#define SWF32			0x7241c
+
+/* Pipe B */
+#define _PIPEBDSL		0x71000
+#define _PIPEBCONF		0x71008
+#define _PIPEBSTAT		0x71024
+#define _PIPEBFRAMEHIGH		0x71040
+#define _PIPEBFRAMEPIXEL		0x71044
+#define _PIPEB_FRMCOUNT_GM45	0x71040
+#define _PIPEB_FLIPCOUNT_GM45	0x71044
+
+
+/* Display B control */
+#define _DSPBCNTR		0x71180
+#define   DISPPLANE_ALPHA_TRANS_ENABLE		(1<<15)
+#define   DISPPLANE_ALPHA_TRANS_DISABLE		0
+#define   DISPPLANE_SPRITE_ABOVE_DISPLAY	0
+#define   DISPPLANE_SPRITE_ABOVE_OVERLAY	(1)
+#define _DSPBADDR		0x71184
+#define _DSPBSTRIDE		0x71188
+#define _DSPBPOS			0x7118C
+#define _DSPBSIZE		0x71190
+#define _DSPBSURF		0x7119C
+#define _DSPBTILEOFF		0x711A4
+
+/* Sprite A control */
+#define _DVSACNTR		0x72180
+#define   DVS_ENABLE		(1<<31)
+#define   DVS_GAMMA_ENABLE	(1<<30)
+#define   DVS_PIXFORMAT_MASK	(3<<25)
+#define   DVS_FORMAT_YUV422	(0<<25)
+#define   DVS_FORMAT_RGBX101010	(1<<25)
+#define   DVS_FORMAT_RGBX888	(2<<25)
+#define   DVS_FORMAT_RGBX161616	(3<<25)
+#define   DVS_SOURCE_KEY	(1<<22)
+#define   DVS_RGB_ORDER_XBGR	(1<<20)
+#define   DVS_YUV_BYTE_ORDER_MASK (3<<16)
+#define   DVS_YUV_ORDER_YUYV	(0<<16)
+#define   DVS_YUV_ORDER_UYVY	(1<<16)
+#define   DVS_YUV_ORDER_YVYU	(2<<16)
+#define   DVS_YUV_ORDER_VYUY	(3<<16)
+#define   DVS_DEST_KEY		(1<<2)
+#define   DVS_TRICKLE_FEED_DISABLE (1<<14)
+#define   DVS_TILED		(1<<10)
+#define _DVSALINOFF		0x72184
+#define _DVSASTRIDE		0x72188
+#define _DVSAPOS		0x7218c
+#define _DVSASIZE		0x72190
+#define _DVSAKEYVAL		0x72194
+#define _DVSAKEYMSK		0x72198
+#define _DVSASURF		0x7219c
+#define _DVSAKEYMAXVAL		0x721a0
+#define _DVSATILEOFF		0x721a4
+#define _DVSASURFLIVE		0x721ac
+#define _DVSASCALE		0x72204
+#define   DVS_SCALE_ENABLE	(1<<31)
+#define   DVS_FILTER_MASK	(3<<29)
+#define   DVS_FILTER_MEDIUM	(0<<29)
+#define   DVS_FILTER_ENHANCING	(1<<29)
+#define   DVS_FILTER_SOFTENING	(2<<29)
+#define   DVS_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
+#define   DVS_VERTICAL_OFFSET_ENABLE (1<<27)
+#define _DVSAGAMC		0x72300
+
+#define _DVSBCNTR		0x73180
+#define _DVSBLINOFF		0x73184
+#define _DVSBSTRIDE		0x73188
+#define _DVSBPOS		0x7318c
+#define _DVSBSIZE		0x73190
+#define _DVSBKEYVAL		0x73194
+#define _DVSBKEYMSK		0x73198
+#define _DVSBSURF		0x7319c
+#define _DVSBKEYMAXVAL		0x731a0
+#define _DVSBTILEOFF		0x731a4
+#define _DVSBSURFLIVE		0x731ac
+#define _DVSBSCALE		0x73204
+#define _DVSBGAMC		0x73300
+
+#define DVSCNTR(pipe) _PIPE(pipe, _DVSACNTR, _DVSBCNTR)
+#define DVSLINOFF(pipe) _PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
+#define DVSSTRIDE(pipe) _PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
+#define DVSPOS(pipe) _PIPE(pipe, _DVSAPOS, _DVSBPOS)
+#define DVSSURF(pipe) _PIPE(pipe, _DVSASURF, _DVSBSURF)
+#define DVSKEYMAX(pipe) _PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
+#define DVSSIZE(pipe) _PIPE(pipe, _DVSASIZE, _DVSBSIZE)
+#define DVSSCALE(pipe) _PIPE(pipe, _DVSASCALE, _DVSBSCALE)
+#define DVSTILEOFF(pipe) _PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
+#define DVSKEYVAL(pipe) _PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
+#define DVSKEYMSK(pipe) _PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
+
+#define _SPRA_CTL		0x70280
+#define   SPRITE_ENABLE			(1<<31)
+#define   SPRITE_GAMMA_ENABLE		(1<<30)
+#define   SPRITE_PIXFORMAT_MASK		(7<<25)
+#define   SPRITE_FORMAT_YUV422		(0<<25)
+#define   SPRITE_FORMAT_RGBX101010	(1<<25)
+#define   SPRITE_FORMAT_RGBX888		(2<<25)
+#define   SPRITE_FORMAT_RGBX161616	(3<<25)
+#define   SPRITE_FORMAT_YUV444		(4<<25)
+#define   SPRITE_FORMAT_XR_BGR101010	(5<<25) /* Extended range */
+#define   SPRITE_CSC_ENABLE		(1<<24)
+#define   SPRITE_SOURCE_KEY		(1<<22)
+#define   SPRITE_RGB_ORDER_RGBX		(1<<20) /* only for 888 and 161616 */
+#define   SPRITE_YUV_TO_RGB_CSC_DISABLE	(1<<19)
+#define   SPRITE_YUV_CSC_FORMAT_BT709	(1<<18) /* 0 is BT601 */
+#define   SPRITE_YUV_BYTE_ORDER_MASK	(3<<16)
+#define   SPRITE_YUV_ORDER_YUYV		(0<<16)
+#define   SPRITE_YUV_ORDER_UYVY		(1<<16)
+#define   SPRITE_YUV_ORDER_YVYU		(2<<16)
+#define   SPRITE_YUV_ORDER_VYUY		(3<<16)
+#define   SPRITE_TRICKLE_FEED_DISABLE	(1<<14)
+#define   SPRITE_INT_GAMMA_ENABLE	(1<<13)
+#define   SPRITE_TILED			(1<<10)
+#define   SPRITE_DEST_KEY		(1<<2)
+#define _SPRA_LINOFF		0x70284
+#define _SPRA_STRIDE		0x70288
+#define _SPRA_POS		0x7028c
+#define _SPRA_SIZE		0x70290
+#define _SPRA_KEYVAL		0x70294
+#define _SPRA_KEYMSK		0x70298
+#define _SPRA_SURF		0x7029c
+#define _SPRA_KEYMAX		0x702a0
+#define _SPRA_TILEOFF		0x702a4
+#define _SPRA_SCALE		0x70304
+#define   SPRITE_SCALE_ENABLE	(1<<31)
+#define   SPRITE_FILTER_MASK	(3<<29)
+#define   SPRITE_FILTER_MEDIUM	(0<<29)
+#define   SPRITE_FILTER_ENHANCING	(1<<29)
+#define   SPRITE_FILTER_SOFTENING	(2<<29)
+#define   SPRITE_VERTICAL_OFFSET_HALF	(1<<28) /* must be enabled below */
+#define   SPRITE_VERTICAL_OFFSET_ENABLE	(1<<27)
+#define _SPRA_GAMC		0x70400
+
+#define _SPRB_CTL		0x71280
+#define _SPRB_LINOFF		0x71284
+#define _SPRB_STRIDE		0x71288
+#define _SPRB_POS		0x7128c
+#define _SPRB_SIZE		0x71290
+#define _SPRB_KEYVAL		0x71294
+#define _SPRB_KEYMSK		0x71298
+#define _SPRB_SURF		0x7129c
+#define _SPRB_KEYMAX		0x712a0
+#define _SPRB_TILEOFF		0x712a4
+#define _SPRB_SCALE		0x71304
+#define _SPRB_GAMC		0x71400
+
+#define SPRCTL(pipe) _PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
+#define SPRLINOFF(pipe) _PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
+#define SPRSTRIDE(pipe) _PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
+#define SPRPOS(pipe) _PIPE(pipe, _SPRA_POS, _SPRB_POS)
+#define SPRSIZE(pipe) _PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
+#define SPRKEYVAL(pipe) _PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
+#define SPRKEYMSK(pipe) _PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
+#define SPRSURF(pipe) _PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
+#define SPRKEYMAX(pipe) _PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
+#define SPRTILEOFF(pipe) _PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
+#define SPRSCALE(pipe) _PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
+#define SPRGAMC(pipe) _PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
+
+/* VBIOS regs */
+#define VGACNTRL		0x71400
+# define VGA_DISP_DISABLE			(1 << 31)
+# define VGA_2X_MODE				(1 << 30)
+# define VGA_PIPE_B_SELECT			(1 << 29)
+
+/* Ironlake */
+
+#define CPU_VGACNTRL	0x41000
+
+#define DIGITAL_PORT_HOTPLUG_CNTRL      0x44030
+#define  DIGITAL_PORTA_HOTPLUG_ENABLE           (1 << 4)
+#define  DIGITAL_PORTA_SHORT_PULSE_2MS          (0 << 2)
+#define  DIGITAL_PORTA_SHORT_PULSE_4_5MS        (1 << 2)
+#define  DIGITAL_PORTA_SHORT_PULSE_6MS          (2 << 2)
+#define  DIGITAL_PORTA_SHORT_PULSE_100MS        (3 << 2)
+#define  DIGITAL_PORTA_NO_DETECT                (0 << 0)
+#define  DIGITAL_PORTA_LONG_PULSE_DETECT_MASK   (1 << 1)
+#define  DIGITAL_PORTA_SHORT_PULSE_DETECT_MASK  (1 << 0)
+
+/* refresh rate hardware control */
+#define RR_HW_CTL       0x45300
+#define  RR_HW_LOW_POWER_FRAMES_MASK    0xff
+#define  RR_HW_HIGH_POWER_FRAMES_MASK   0xff00
+
+#define FDI_PLL_BIOS_0  0x46000
+#define  FDI_PLL_FB_CLOCK_MASK  0xff
+#define FDI_PLL_BIOS_1  0x46004
+#define FDI_PLL_BIOS_2  0x46008
+#define DISPLAY_PORT_PLL_BIOS_0         0x4600c
+#define DISPLAY_PORT_PLL_BIOS_1         0x46010
+#define DISPLAY_PORT_PLL_BIOS_2         0x46014
+
+#define PCH_DSPCLK_GATE_D	0x42020
+# define DPFCUNIT_CLOCK_GATE_DISABLE		(1 << 9)
+# define DPFCRUNIT_CLOCK_GATE_DISABLE		(1 << 8)
+# define DPFDUNIT_CLOCK_GATE_DISABLE		(1 << 7)
+# define DPARBUNIT_CLOCK_GATE_DISABLE		(1 << 5)
+
+#define PCH_3DCGDIS0		0x46020
+# define MARIUNIT_CLOCK_GATE_DISABLE		(1 << 18)
+# define SVSMUNIT_CLOCK_GATE_DISABLE		(1 << 1)
+
+#define PCH_3DCGDIS1		0x46024
+# define VFMUNIT_CLOCK_GATE_DISABLE		(1 << 11)
+
+#define FDI_PLL_FREQ_CTL        0x46030
+#define  FDI_PLL_FREQ_CHANGE_REQUEST    (1<<24)
+#define  FDI_PLL_FREQ_LOCK_LIMIT_MASK   0xfff00
+#define  FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK  0xff
+
+
+#define _PIPEA_DATA_M1           0x60030
+#define  TU_SIZE(x)             (((x)-1) << 25) /* default size 64 */
+#define  TU_SIZE_MASK           0x7e000000
+#define  PIPE_DATA_M1_OFFSET    0
+#define _PIPEA_DATA_N1           0x60034
+#define  PIPE_DATA_N1_OFFSET    0
+
+#define _PIPEA_DATA_M2           0x60038
+#define  PIPE_DATA_M2_OFFSET    0
+#define _PIPEA_DATA_N2           0x6003c
+#define  PIPE_DATA_N2_OFFSET    0
+
+#define _PIPEA_LINK_M1           0x60040
+#define  PIPE_LINK_M1_OFFSET    0
+#define _PIPEA_LINK_N1           0x60044
+#define  PIPE_LINK_N1_OFFSET    0
+
+#define _PIPEA_LINK_M2           0x60048
+#define  PIPE_LINK_M2_OFFSET    0
+#define _PIPEA_LINK_N2           0x6004c
+#define  PIPE_LINK_N2_OFFSET    0
+
+/* PIPEB timing regs are same start from 0x61000 */
+
+#define _PIPEB_DATA_M1           0x61030
+#define _PIPEB_DATA_N1           0x61034
+
+#define _PIPEB_DATA_M2           0x61038
+#define _PIPEB_DATA_N2           0x6103c
+
+#define _PIPEB_LINK_M1           0x61040
+#define _PIPEB_LINK_N1           0x61044
+
+#define _PIPEB_LINK_M2           0x61048
+#define _PIPEB_LINK_N2           0x6104c
+
+#define PIPE_DATA_M1(pipe) _PIPE(pipe, _PIPEA_DATA_M1, _PIPEB_DATA_M1)
+#define PIPE_DATA_N1(pipe) _PIPE(pipe, _PIPEA_DATA_N1, _PIPEB_DATA_N1)
+#define PIPE_DATA_M2(pipe) _PIPE(pipe, _PIPEA_DATA_M2, _PIPEB_DATA_M2)
+#define PIPE_DATA_N2(pipe) _PIPE(pipe, _PIPEA_DATA_N2, _PIPEB_DATA_N2)
+#define PIPE_LINK_M1(pipe) _PIPE(pipe, _PIPEA_LINK_M1, _PIPEB_LINK_M1)
+#define PIPE_LINK_N1(pipe) _PIPE(pipe, _PIPEA_LINK_N1, _PIPEB_LINK_N1)
+#define PIPE_LINK_M2(pipe) _PIPE(pipe, _PIPEA_LINK_M2, _PIPEB_LINK_M2)
+#define PIPE_LINK_N2(pipe) _PIPE(pipe, _PIPEA_LINK_N2, _PIPEB_LINK_N2)
+
+/* CPU panel fitter */
+/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
+#define _PFA_CTL_1               0x68080
+#define _PFB_CTL_1               0x68880
+#define  PF_ENABLE              (1<<31)
+#define  PF_FILTER_MASK		(3<<23)
+#define  PF_FILTER_PROGRAMMED	(0<<23)
+#define  PF_FILTER_MED_3x3	(1<<23)
+#define  PF_FILTER_EDGE_ENHANCE	(2<<23)
+#define  PF_FILTER_EDGE_SOFTEN	(3<<23)
+#define _PFA_WIN_SZ		0x68074
+#define _PFB_WIN_SZ		0x68874
+#define _PFA_WIN_POS		0x68070
+#define _PFB_WIN_POS		0x68870
+#define _PFA_VSCALE		0x68084
+#define _PFB_VSCALE		0x68884
+#define _PFA_HSCALE		0x68090
+#define _PFB_HSCALE		0x68890
+
+#define PF_CTL(pipe)		_PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
+#define PF_WIN_SZ(pipe)		_PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
+#define PF_WIN_POS(pipe)	_PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
+#define PF_VSCALE(pipe)		_PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
+#define PF_HSCALE(pipe)		_PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
+
+/* legacy palette */
+#define _LGC_PALETTE_A           0x4a000
+#define _LGC_PALETTE_B           0x4a800
+#define LGC_PALETTE(pipe) _PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B)
+
+/* interrupts */
+#define DE_MASTER_IRQ_CONTROL   (1 << 31)
+#define DE_SPRITEB_FLIP_DONE    (1 << 29)
+#define DE_SPRITEA_FLIP_DONE    (1 << 28)
+#define DE_PLANEB_FLIP_DONE     (1 << 27)
+#define DE_PLANEA_FLIP_DONE     (1 << 26)
+#define DE_PCU_EVENT            (1 << 25)
+#define DE_GTT_FAULT            (1 << 24)
+#define DE_POISON               (1 << 23)
+#define DE_PERFORM_COUNTER      (1 << 22)
+#define DE_PCH_EVENT            (1 << 21)
+#define DE_AUX_CHANNEL_A        (1 << 20)
+#define DE_DP_A_HOTPLUG         (1 << 19)
+#define DE_GSE                  (1 << 18)
+#define DE_PIPEB_VBLANK         (1 << 15)
+#define DE_PIPEB_EVEN_FIELD     (1 << 14)
+#define DE_PIPEB_ODD_FIELD      (1 << 13)
+#define DE_PIPEB_LINE_COMPARE   (1 << 12)
+#define DE_PIPEB_VSYNC          (1 << 11)
+#define DE_PIPEB_FIFO_UNDERRUN  (1 << 8)
+#define DE_PIPEA_VBLANK         (1 << 7)
+#define DE_PIPEA_EVEN_FIELD     (1 << 6)
+#define DE_PIPEA_ODD_FIELD      (1 << 5)
+#define DE_PIPEA_LINE_COMPARE   (1 << 4)
+#define DE_PIPEA_VSYNC          (1 << 3)
+#define DE_PIPEA_FIFO_UNDERRUN  (1 << 0)
+
+/* More Ivybridge lolz */
+#define DE_ERR_DEBUG_IVB		(1<<30)
+#define DE_GSE_IVB			(1<<29)
+#define DE_PCH_EVENT_IVB		(1<<28)
+#define DE_DP_A_HOTPLUG_IVB		(1<<27)
+#define DE_AUX_CHANNEL_A_IVB		(1<<26)
+#define DE_SPRITEB_FLIP_DONE_IVB	(1<<9)
+#define DE_SPRITEA_FLIP_DONE_IVB	(1<<4)
+#define DE_PLANEB_FLIP_DONE_IVB		(1<<8)
+#define DE_PLANEA_FLIP_DONE_IVB		(1<<3)
+#define DE_PIPEB_VBLANK_IVB		(1<<5)
+#define DE_PIPEA_VBLANK_IVB		(1<<0)
+
+#define DEISR   0x44000
+#define DEIMR   0x44004
+#define DEIIR   0x44008
+#define DEIER   0x4400c
+
+/* GT interrupt */
+#define GT_PIPE_NOTIFY		(1 << 4)
+#define GT_SYNC_STATUS          (1 << 2)
+#define GT_USER_INTERRUPT       (1 << 0)
+#define GT_BSD_USER_INTERRUPT   (1 << 5)
+#define GT_GEN6_BSD_USER_INTERRUPT	(1 << 12)
+#define GT_BLT_USER_INTERRUPT	(1 << 22)
+
+#define GTISR   0x44010
+#define GTIMR   0x44014
+#define GTIIR   0x44018
+#define GTIER   0x4401c
+
+#define ILK_DISPLAY_CHICKEN2	0x42004
+/* Required on all Ironlake and Sandybridge according to the B-Spec. */
+#define  ILK_ELPIN_409_SELECT	(1 << 25)
+#define  ILK_DPARB_GATE	(1<<22)
+#define  ILK_VSDPFD_FULL	(1<<21)
+#define ILK_DISPLAY_CHICKEN_FUSES	0x42014
+#define  ILK_INTERNAL_GRAPHICS_DISABLE	(1<<31)
+#define  ILK_INTERNAL_DISPLAY_DISABLE	(1<<30)
+#define  ILK_DISPLAY_DEBUG_DISABLE	(1<<29)
+#define  ILK_HDCP_DISABLE		(1<<25)
+#define  ILK_eDP_A_DISABLE		(1<<24)
+#define  ILK_DESKTOP			(1<<23)
+#define ILK_DSPCLK_GATE		0x42020
+#define  IVB_VRHUNIT_CLK_GATE	(1<<28)
+#define  ILK_DPARB_CLK_GATE	(1<<5)
+#define  ILK_DPFD_CLK_GATE	(1<<7)
+
+/* According to spec this bit 7/8/9 of 0x42020 should be set to enable FBC */
+#define   ILK_CLK_FBC		(1<<7)
+#define   ILK_DPFC_DIS1		(1<<8)
+#define   ILK_DPFC_DIS2		(1<<9)
+
+#define IVB_CHICKEN3	0x4200c
+# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE	(1 << 5)
+# define CHICKEN3_DGMG_DONE_FIX_DISABLE		(1 << 2)
+
+#define DISP_ARB_CTL	0x45000
+#define  DISP_TILE_SURFACE_SWIZZLING	(1<<13)
+#define  DISP_FBC_WM_DIS		(1<<15)
+
+/* GEN7 chicken */
+#define GEN7_COMMON_SLICE_CHICKEN1		0x7010
+# define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC	((1<<10) | (1<<26))
+
+#define GEN7_L3CNTLREG1				0xB01C
+#define  GEN7_WA_FOR_GEN7_L3_CONTROL			0x3C4FFF8C
+
+#define GEN7_L3_CHICKEN_MODE_REGISTER		0xB030
+#define  GEN7_WA_L3_CHICKEN_MODE				0x20000000
+
+/* WaCatErrorRejectionIssue */
+#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG		0x9030
+#define  GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB	(1<<11)
+
+/* PCH */
+
+/* south display engine interrupt */
+#define SDE_AUDIO_POWER_D	(1 << 27)
+#define SDE_AUDIO_POWER_C	(1 << 26)
+#define SDE_AUDIO_POWER_B	(1 << 25)
+#define SDE_AUDIO_POWER_SHIFT	(25)
+#define SDE_AUDIO_POWER_MASK	(7 << SDE_AUDIO_POWER_SHIFT)
+#define SDE_GMBUS		(1 << 24)
+#define SDE_AUDIO_HDCP_TRANSB	(1 << 23)
+#define SDE_AUDIO_HDCP_TRANSA	(1 << 22)
+#define SDE_AUDIO_HDCP_MASK	(3 << 22)
+#define SDE_AUDIO_TRANSB	(1 << 21)
+#define SDE_AUDIO_TRANSA	(1 << 20)
+#define SDE_AUDIO_TRANS_MASK	(3 << 20)
+#define SDE_POISON		(1 << 19)
+/* 18 reserved */
+#define SDE_FDI_RXB		(1 << 17)
+#define SDE_FDI_RXA		(1 << 16)
+#define SDE_FDI_MASK		(3 << 16)
+#define SDE_AUXD		(1 << 15)
+#define SDE_AUXC		(1 << 14)
+#define SDE_AUXB		(1 << 13)
+#define SDE_AUX_MASK		(7 << 13)
+/* 12 reserved */
+#define SDE_CRT_HOTPLUG         (1 << 11)
+#define SDE_PORTD_HOTPLUG       (1 << 10)
+#define SDE_PORTC_HOTPLUG       (1 << 9)
+#define SDE_PORTB_HOTPLUG       (1 << 8)
+#define SDE_SDVOB_HOTPLUG       (1 << 6)
+#define SDE_HOTPLUG_MASK	(0xf << 8)
+#define SDE_TRANSB_CRC_DONE	(1 << 5)
+#define SDE_TRANSB_CRC_ERR	(1 << 4)
+#define SDE_TRANSB_FIFO_UNDER	(1 << 3)
+#define SDE_TRANSA_CRC_DONE	(1 << 2)
+#define SDE_TRANSA_CRC_ERR	(1 << 1)
+#define SDE_TRANSA_FIFO_UNDER	(1 << 0)
+#define SDE_TRANS_MASK		(0x3f)
+/* CPT */
+#define SDE_CRT_HOTPLUG_CPT	(1 << 19)
+#define SDE_PORTD_HOTPLUG_CPT	(1 << 23)
+#define SDE_PORTC_HOTPLUG_CPT	(1 << 22)
+#define SDE_PORTB_HOTPLUG_CPT	(1 << 21)
+#define SDE_HOTPLUG_MASK_CPT	(SDE_CRT_HOTPLUG_CPT |		\
+				 SDE_PORTD_HOTPLUG_CPT |	\
+				 SDE_PORTC_HOTPLUG_CPT |	\
+				 SDE_PORTB_HOTPLUG_CPT)
+
+#define SDEISR  0xc4000
+#define SDEIMR  0xc4004
+#define SDEIIR  0xc4008
+#define SDEIER  0xc400c
+
+/* digital port hotplug */
+#define PCH_PORT_HOTPLUG        0xc4030		/* SHOTPLUG_CTL */
+#define PORTD_HOTPLUG_ENABLE            (1 << 20)
+#define PORTD_PULSE_DURATION_2ms        (0)
+#define PORTD_PULSE_DURATION_4_5ms      (1 << 18)
+#define PORTD_PULSE_DURATION_6ms        (2 << 18)
+#define PORTD_PULSE_DURATION_100ms      (3 << 18)
+#define PORTD_PULSE_DURATION_MASK	(3 << 18)
+#define PORTD_HOTPLUG_NO_DETECT         (0)
+#define PORTD_HOTPLUG_SHORT_DETECT      (1 << 16)
+#define PORTD_HOTPLUG_LONG_DETECT       (1 << 17)
+#define PORTC_HOTPLUG_ENABLE            (1 << 12)
+#define PORTC_PULSE_DURATION_2ms        (0)
+#define PORTC_PULSE_DURATION_4_5ms      (1 << 10)
+#define PORTC_PULSE_DURATION_6ms        (2 << 10)
+#define PORTC_PULSE_DURATION_100ms      (3 << 10)
+#define PORTC_PULSE_DURATION_MASK	(3 << 10)
+#define PORTC_HOTPLUG_NO_DETECT         (0)
+#define PORTC_HOTPLUG_SHORT_DETECT      (1 << 8)
+#define PORTC_HOTPLUG_LONG_DETECT       (1 << 9)
+#define PORTB_HOTPLUG_ENABLE            (1 << 4)
+#define PORTB_PULSE_DURATION_2ms        (0)
+#define PORTB_PULSE_DURATION_4_5ms      (1 << 2)
+#define PORTB_PULSE_DURATION_6ms        (2 << 2)
+#define PORTB_PULSE_DURATION_100ms      (3 << 2)
+#define PORTB_PULSE_DURATION_MASK	(3 << 2)
+#define PORTB_HOTPLUG_NO_DETECT         (0)
+#define PORTB_HOTPLUG_SHORT_DETECT      (1 << 0)
+#define PORTB_HOTPLUG_LONG_DETECT       (1 << 1)
+
+#define PCH_GPIOA               0xc5010
+#define PCH_GPIOB               0xc5014
+#define PCH_GPIOC               0xc5018
+#define PCH_GPIOD               0xc501c
+#define PCH_GPIOE               0xc5020
+#define PCH_GPIOF               0xc5024
+
+#define PCH_GMBUS0		0xc5100
+#define PCH_GMBUS1		0xc5104
+#define PCH_GMBUS2		0xc5108
+#define PCH_GMBUS3		0xc510c
+#define PCH_GMBUS4		0xc5110
+#define PCH_GMBUS5		0xc5120
+
+#define _PCH_DPLL_A              0xc6014
+#define _PCH_DPLL_B              0xc6018
+#define PCH_DPLL(pipe) (pipe == 0 ?  _PCH_DPLL_A : _PCH_DPLL_B)
+
+#define _PCH_FPA0                0xc6040
+#define  FP_CB_TUNE		(0x3<<22)
+#define _PCH_FPA1                0xc6044
+#define _PCH_FPB0                0xc6048
+#define _PCH_FPB1                0xc604c
+#define PCH_FP0(pipe) (pipe == 0 ? _PCH_FPA0 : _PCH_FPB0)
+#define PCH_FP1(pipe) (pipe == 0 ? _PCH_FPA1 : _PCH_FPB1)
+
+#define PCH_DPLL_TEST           0xc606c
+
+#define PCH_DREF_CONTROL        0xC6200
+#define  DREF_CONTROL_MASK      0x7fc3
+#define  DREF_CPU_SOURCE_OUTPUT_DISABLE         (0<<13)
+#define  DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD      (2<<13)
+#define  DREF_CPU_SOURCE_OUTPUT_NONSPREAD       (3<<13)
+#define  DREF_CPU_SOURCE_OUTPUT_MASK		(3<<13)
+#define  DREF_SSC_SOURCE_DISABLE                (0<<11)
+#define  DREF_SSC_SOURCE_ENABLE                 (2<<11)
+#define  DREF_SSC_SOURCE_MASK			(3<<11)
+#define  DREF_NONSPREAD_SOURCE_DISABLE          (0<<9)
+#define  DREF_NONSPREAD_CK505_ENABLE		(1<<9)
+#define  DREF_NONSPREAD_SOURCE_ENABLE           (2<<9)
+#define  DREF_NONSPREAD_SOURCE_MASK		(3<<9)
+#define  DREF_SUPERSPREAD_SOURCE_DISABLE        (0<<7)
+#define  DREF_SUPERSPREAD_SOURCE_ENABLE         (2<<7)
+#define  DREF_SUPERSPREAD_SOURCE_MASK		(3<<7)
+#define  DREF_SSC4_DOWNSPREAD                   (0<<6)
+#define  DREF_SSC4_CENTERSPREAD                 (1<<6)
+#define  DREF_SSC1_DISABLE                      (0<<1)
+#define  DREF_SSC1_ENABLE                       (1<<1)
+#define  DREF_SSC4_DISABLE                      (0)
+#define  DREF_SSC4_ENABLE                       (1)
+
+#define PCH_RAWCLK_FREQ         0xc6204
+#define  FDL_TP1_TIMER_SHIFT    12
+#define  FDL_TP1_TIMER_MASK     (3<<12)
+#define  FDL_TP2_TIMER_SHIFT    10
+#define  FDL_TP2_TIMER_MASK     (3<<10)
+#define  RAWCLK_FREQ_MASK       0x3ff
+
+#define PCH_DPLL_TMR_CFG        0xc6208
+
+#define PCH_SSC4_PARMS          0xc6210
+#define PCH_SSC4_AUX_PARMS      0xc6214
+
+#define PCH_DPLL_SEL		0xc7000
+#define  TRANSA_DPLL_ENABLE	(1<<3)
+#define	 TRANSA_DPLLB_SEL	(1<<0)
+#define	 TRANSA_DPLLA_SEL	0
+#define  TRANSB_DPLL_ENABLE	(1<<7)
+#define	 TRANSB_DPLLB_SEL	(1<<4)
+#define	 TRANSB_DPLLA_SEL	(0)
+#define  TRANSC_DPLL_ENABLE	(1<<11)
+#define	 TRANSC_DPLLB_SEL	(1<<8)
+#define	 TRANSC_DPLLA_SEL	(0)
+
+/* transcoder */
+
+#define _TRANS_HTOTAL_A          0xe0000
+#define  TRANS_HTOTAL_SHIFT     16
+#define  TRANS_HACTIVE_SHIFT    0
+#define _TRANS_HBLANK_A          0xe0004
+#define  TRANS_HBLANK_END_SHIFT 16
+#define  TRANS_HBLANK_START_SHIFT 0
+#define _TRANS_HSYNC_A           0xe0008
+#define  TRANS_HSYNC_END_SHIFT  16
+#define  TRANS_HSYNC_START_SHIFT 0
+#define _TRANS_VTOTAL_A          0xe000c
+#define  TRANS_VTOTAL_SHIFT     16
+#define  TRANS_VACTIVE_SHIFT    0
+#define _TRANS_VBLANK_A          0xe0010
+#define  TRANS_VBLANK_END_SHIFT 16
+#define  TRANS_VBLANK_START_SHIFT 0
+#define _TRANS_VSYNC_A           0xe0014
+#define  TRANS_VSYNC_END_SHIFT  16
+#define  TRANS_VSYNC_START_SHIFT 0
+#define _TRANS_VSYNCSHIFT_A	0xe0028
+
+#define _TRANSA_DATA_M1          0xe0030
+#define _TRANSA_DATA_N1          0xe0034
+#define _TRANSA_DATA_M2          0xe0038
+#define _TRANSA_DATA_N2          0xe003c
+#define _TRANSA_DP_LINK_M1       0xe0040
+#define _TRANSA_DP_LINK_N1       0xe0044
+#define _TRANSA_DP_LINK_M2       0xe0048
+#define _TRANSA_DP_LINK_N2       0xe004c
+
+/* Per-transcoder DIP controls */
+
+#define _VIDEO_DIP_CTL_A         0xe0200
+#define _VIDEO_DIP_DATA_A        0xe0208
+#define _VIDEO_DIP_GCP_A         0xe0210
+
+#define _VIDEO_DIP_CTL_B         0xe1200
+#define _VIDEO_DIP_DATA_B        0xe1208
+#define _VIDEO_DIP_GCP_B         0xe1210
+
+#define TVIDEO_DIP_CTL(pipe) _PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
+#define TVIDEO_DIP_DATA(pipe) _PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
+#define TVIDEO_DIP_GCP(pipe) _PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
+
+#define _TRANS_HTOTAL_B          0xe1000
+#define _TRANS_HBLANK_B          0xe1004
+#define _TRANS_HSYNC_B           0xe1008
+#define _TRANS_VTOTAL_B          0xe100c
+#define _TRANS_VBLANK_B          0xe1010
+#define _TRANS_VSYNC_B           0xe1014
+#define _TRANS_VSYNCSHIFT_B	 0xe1028
+
+#define TRANS_HTOTAL(pipe) _PIPE(pipe, _TRANS_HTOTAL_A, _TRANS_HTOTAL_B)
+#define TRANS_HBLANK(pipe) _PIPE(pipe, _TRANS_HBLANK_A, _TRANS_HBLANK_B)
+#define TRANS_HSYNC(pipe) _PIPE(pipe, _TRANS_HSYNC_A, _TRANS_HSYNC_B)
+#define TRANS_VTOTAL(pipe) _PIPE(pipe, _TRANS_VTOTAL_A, _TRANS_VTOTAL_B)
+#define TRANS_VBLANK(pipe) _PIPE(pipe, _TRANS_VBLANK_A, _TRANS_VBLANK_B)
+#define TRANS_VSYNC(pipe) _PIPE(pipe, _TRANS_VSYNC_A, _TRANS_VSYNC_B)
+#define TRANS_VSYNCSHIFT(pipe) _PIPE(pipe, _TRANS_VSYNCSHIFT_A, \
+				     _TRANS_VSYNCSHIFT_B)
+
+#define _TRANSB_DATA_M1          0xe1030
+#define _TRANSB_DATA_N1          0xe1034
+#define _TRANSB_DATA_M2          0xe1038
+#define _TRANSB_DATA_N2          0xe103c
+#define _TRANSB_DP_LINK_M1       0xe1040
+#define _TRANSB_DP_LINK_N1       0xe1044
+#define _TRANSB_DP_LINK_M2       0xe1048
+#define _TRANSB_DP_LINK_N2       0xe104c
+
+#define TRANSDATA_M1(pipe) _PIPE(pipe, _TRANSA_DATA_M1, _TRANSB_DATA_M1)
+#define TRANSDATA_N1(pipe) _PIPE(pipe, _TRANSA_DATA_N1, _TRANSB_DATA_N1)
+#define TRANSDATA_M2(pipe) _PIPE(pipe, _TRANSA_DATA_M2, _TRANSB_DATA_M2)
+#define TRANSDATA_N2(pipe) _PIPE(pipe, _TRANSA_DATA_N2, _TRANSB_DATA_N2)
+#define TRANSDPLINK_M1(pipe) _PIPE(pipe, _TRANSA_DP_LINK_M1, _TRANSB_DP_LINK_M1)
+#define TRANSDPLINK_N1(pipe) _PIPE(pipe, _TRANSA_DP_LINK_N1, _TRANSB_DP_LINK_N1)
+#define TRANSDPLINK_M2(pipe) _PIPE(pipe, _TRANSA_DP_LINK_M2, _TRANSB_DP_LINK_M2)
+#define TRANSDPLINK_N2(pipe) _PIPE(pipe, _TRANSA_DP_LINK_N2, _TRANSB_DP_LINK_N2)
+
+#define _TRANSACONF              0xf0008
+#define _TRANSBCONF              0xf1008
+#define TRANSCONF(plane) _PIPE(plane, _TRANSACONF, _TRANSBCONF)
+#define  TRANS_DISABLE          (0<<31)
+#define  TRANS_ENABLE           (1<<31)
+#define  TRANS_STATE_MASK       (1<<30)
+#define  TRANS_STATE_DISABLE    (0<<30)
+#define  TRANS_STATE_ENABLE     (1<<30)
+#define  TRANS_FSYNC_DELAY_HB1  (0<<27)
+#define  TRANS_FSYNC_DELAY_HB2  (1<<27)
+#define  TRANS_FSYNC_DELAY_HB3  (2<<27)
+#define  TRANS_FSYNC_DELAY_HB4  (3<<27)
+#define  TRANS_DP_AUDIO_ONLY    (1<<26)
+#define  TRANS_DP_VIDEO_AUDIO   (0<<26)
+#define  TRANS_INTERLACE_MASK   (7<<21)
+#define  TRANS_PROGRESSIVE      (0<<21)
+#define  TRANS_INTERLACED       (3<<21)
+#define  TRANS_LEGACY_INTERLACED_ILK (2<<21)
+#define  TRANS_8BPC             (0<<5)
+#define  TRANS_10BPC            (1<<5)
+#define  TRANS_6BPC             (2<<5)
+#define  TRANS_12BPC            (3<<5)
+
+#define _TRANSA_CHICKEN2	 0xf0064
+#define _TRANSB_CHICKEN2	 0xf1064
+#define TRANS_CHICKEN2(pipe) _PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
+#define   TRANS_AUTOTRAIN_GEN_STALL_DIS	(1<<31)
+
+#define SOUTH_CHICKEN1		0xc2000
+#define  FDIA_PHASE_SYNC_SHIFT_OVR	19
+#define  FDIA_PHASE_SYNC_SHIFT_EN	18
+#define FDI_PHASE_SYNC_OVR(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
+#define FDI_PHASE_SYNC_EN(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
+#define SOUTH_CHICKEN2		0xc2004
+#define  DPLS_EDP_PPS_FIX_DIS	(1<<0)
+
+#define _FDI_RXA_CHICKEN         0xc200c
+#define _FDI_RXB_CHICKEN         0xc2010
+#define  FDI_RX_PHASE_SYNC_POINTER_OVR	(1<<1)
+#define  FDI_RX_PHASE_SYNC_POINTER_EN	(1<<0)
+#define FDI_RX_CHICKEN(pipe) _PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
+
+#define SOUTH_DSPCLK_GATE_D	0xc2020
+#define  PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1<<29)
+
+/* CPU: FDI_TX */
+#define _FDI_TXA_CTL             0x60100
+#define _FDI_TXB_CTL             0x61100
+#define FDI_TX_CTL(pipe) _PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
+#define  FDI_TX_DISABLE         (0<<31)
+#define  FDI_TX_ENABLE          (1<<31)
+#define  FDI_LINK_TRAIN_PATTERN_1       (0<<28)
+#define  FDI_LINK_TRAIN_PATTERN_2       (1<<28)
+#define  FDI_LINK_TRAIN_PATTERN_IDLE    (2<<28)
+#define  FDI_LINK_TRAIN_NONE            (3<<28)
+#define  FDI_LINK_TRAIN_VOLTAGE_0_4V    (0<<25)
+#define  FDI_LINK_TRAIN_VOLTAGE_0_6V    (1<<25)
+#define  FDI_LINK_TRAIN_VOLTAGE_0_8V    (2<<25)
+#define  FDI_LINK_TRAIN_VOLTAGE_1_2V    (3<<25)
+#define  FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0<<22)
+#define  FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1<<22)
+#define  FDI_LINK_TRAIN_PRE_EMPHASIS_2X   (2<<22)
+#define  FDI_LINK_TRAIN_PRE_EMPHASIS_3X   (3<<22)
+/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
+   SNB has different settings. */
+/* SNB A-stepping */
+#define  FDI_LINK_TRAIN_400MV_0DB_SNB_A		(0x38<<22)
+#define  FDI_LINK_TRAIN_400MV_6DB_SNB_A		(0x02<<22)
+#define  FDI_LINK_TRAIN_600MV_3_5DB_SNB_A	(0x01<<22)
+#define  FDI_LINK_TRAIN_800MV_0DB_SNB_A		(0x0<<22)
+/* SNB B-stepping */
+#define  FDI_LINK_TRAIN_400MV_0DB_SNB_B		(0x0<<22)
+#define  FDI_LINK_TRAIN_400MV_6DB_SNB_B		(0x3a<<22)
+#define  FDI_LINK_TRAIN_600MV_3_5DB_SNB_B	(0x39<<22)
+#define  FDI_LINK_TRAIN_800MV_0DB_SNB_B		(0x38<<22)
+#define  FDI_LINK_TRAIN_VOL_EMP_MASK		(0x3f<<22)
+#define  FDI_DP_PORT_WIDTH_X1           (0<<19)
+#define  FDI_DP_PORT_WIDTH_X2           (1<<19)
+#define  FDI_DP_PORT_WIDTH_X3           (2<<19)
+#define  FDI_DP_PORT_WIDTH_X4           (3<<19)
+#define  FDI_TX_ENHANCE_FRAME_ENABLE    (1<<18)
+/* Ironlake: hardwired to 1 */
+#define  FDI_TX_PLL_ENABLE              (1<<14)
+
+/* Ivybridge has different bits for lolz */
+#define  FDI_LINK_TRAIN_PATTERN_1_IVB       (0<<8)
+#define  FDI_LINK_TRAIN_PATTERN_2_IVB       (1<<8)
+#define  FDI_LINK_TRAIN_PATTERN_IDLE_IVB    (2<<8)
+#define  FDI_LINK_TRAIN_NONE_IVB            (3<<8)
+
+/* both Tx and Rx */
+#define  FDI_COMPOSITE_SYNC		(1<<11)
+#define  FDI_LINK_TRAIN_AUTO		(1<<10)
+#define  FDI_SCRAMBLING_ENABLE          (0<<7)
+#define  FDI_SCRAMBLING_DISABLE         (1<<7)
+
+/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
+#define _FDI_RXA_CTL             0xf000c
+#define _FDI_RXB_CTL             0xf100c
+#define FDI_RX_CTL(pipe) _PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
+#define  FDI_RX_ENABLE          (1<<31)
+/* train, dp width same as FDI_TX */
+#define  FDI_FS_ERRC_ENABLE		(1<<27)
+#define  FDI_FE_ERRC_ENABLE		(1<<26)
+#define  FDI_DP_PORT_WIDTH_X8           (7<<19)
+#define  FDI_8BPC                       (0<<16)
+#define  FDI_10BPC                      (1<<16)
+#define  FDI_6BPC                       (2<<16)
+#define  FDI_12BPC                      (3<<16)
+#define  FDI_LINK_REVERSE_OVERWRITE     (1<<15)
+#define  FDI_DMI_LINK_REVERSE_MASK      (1<<14)
+#define  FDI_RX_PLL_ENABLE              (1<<13)
+#define  FDI_FS_ERR_CORRECT_ENABLE      (1<<11)
+#define  FDI_FE_ERR_CORRECT_ENABLE      (1<<10)
+#define  FDI_FS_ERR_REPORT_ENABLE       (1<<9)
+#define  FDI_FE_ERR_REPORT_ENABLE       (1<<8)
+#define  FDI_RX_ENHANCE_FRAME_ENABLE    (1<<6)
+#define  FDI_PCDCLK	                (1<<4)
+/* CPT */
+#define  FDI_AUTO_TRAINING			(1<<10)
+#define  FDI_LINK_TRAIN_PATTERN_1_CPT		(0<<8)
+#define  FDI_LINK_TRAIN_PATTERN_2_CPT		(1<<8)
+#define  FDI_LINK_TRAIN_PATTERN_IDLE_CPT	(2<<8)
+#define  FDI_LINK_TRAIN_NORMAL_CPT		(3<<8)
+#define  FDI_LINK_TRAIN_PATTERN_MASK_CPT	(3<<8)
+
+#define _FDI_RXA_MISC            0xf0010
+#define _FDI_RXB_MISC            0xf1010
+#define _FDI_RXA_TUSIZE1         0xf0030
+#define _FDI_RXA_TUSIZE2         0xf0038
+#define _FDI_RXB_TUSIZE1         0xf1030
+#define _FDI_RXB_TUSIZE2         0xf1038
+#define FDI_RX_MISC(pipe) _PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
+#define FDI_RX_TUSIZE1(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
+#define FDI_RX_TUSIZE2(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
+
+/* FDI_RX interrupt register format */
+#define FDI_RX_INTER_LANE_ALIGN         (1<<10)
+#define FDI_RX_SYMBOL_LOCK              (1<<9) /* train 2 */
+#define FDI_RX_BIT_LOCK                 (1<<8) /* train 1 */
+#define FDI_RX_TRAIN_PATTERN_2_FAIL     (1<<7)
+#define FDI_RX_FS_CODE_ERR              (1<<6)
+#define FDI_RX_FE_CODE_ERR              (1<<5)
+#define FDI_RX_SYMBOL_ERR_RATE_ABOVE    (1<<4)
+#define FDI_RX_HDCP_LINK_FAIL           (1<<3)
+#define FDI_RX_PIXEL_FIFO_OVERFLOW      (1<<2)
+#define FDI_RX_CROSS_CLOCK_OVERFLOW     (1<<1)
+#define FDI_RX_SYMBOL_QUEUE_OVERFLOW    (1<<0)
+
+#define _FDI_RXA_IIR             0xf0014
+#define _FDI_RXA_IMR             0xf0018
+#define _FDI_RXB_IIR             0xf1014
+#define _FDI_RXB_IMR             0xf1018
+#define FDI_RX_IIR(pipe) _PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
+#define FDI_RX_IMR(pipe) _PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
+
+#define FDI_PLL_CTL_1           0xfe000
+#define FDI_PLL_CTL_2           0xfe004
+
+/* CRT */
+#define PCH_ADPA                0xe1100
+#define  ADPA_TRANS_SELECT_MASK (1<<30)
+#define  ADPA_TRANS_A_SELECT    0
+#define  ADPA_TRANS_B_SELECT    (1<<30)
+#define  ADPA_CRT_HOTPLUG_MASK  0x03ff0000 /* bit 25-16 */
+#define  ADPA_CRT_HOTPLUG_MONITOR_NONE  (0<<24)
+#define  ADPA_CRT_HOTPLUG_MONITOR_MASK  (3<<24)
+#define  ADPA_CRT_HOTPLUG_MONITOR_COLOR (3<<24)
+#define  ADPA_CRT_HOTPLUG_MONITOR_MONO  (2<<24)
+#define  ADPA_CRT_HOTPLUG_ENABLE        (1<<23)
+#define  ADPA_CRT_HOTPLUG_PERIOD_64     (0<<22)
+#define  ADPA_CRT_HOTPLUG_PERIOD_128    (1<<22)
+#define  ADPA_CRT_HOTPLUG_WARMUP_5MS    (0<<21)
+#define  ADPA_CRT_HOTPLUG_WARMUP_10MS   (1<<21)
+#define  ADPA_CRT_HOTPLUG_SAMPLE_2S     (0<<20)
+#define  ADPA_CRT_HOTPLUG_SAMPLE_4S     (1<<20)
+#define  ADPA_CRT_HOTPLUG_VOLTAGE_40    (0<<18)
+#define  ADPA_CRT_HOTPLUG_VOLTAGE_50    (1<<18)
+#define  ADPA_CRT_HOTPLUG_VOLTAGE_60    (2<<18)
+#define  ADPA_CRT_HOTPLUG_VOLTAGE_70    (3<<18)
+#define  ADPA_CRT_HOTPLUG_VOLREF_325MV  (0<<17)
+#define  ADPA_CRT_HOTPLUG_VOLREF_475MV  (1<<17)
+#define  ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1<<16)
+
+/* or SDVOB */
+#define HDMIB   0xe1140
+#define  PORT_ENABLE    (1 << 31)
+#define  TRANSCODER(pipe)       ((pipe) << 30)
+#define  TRANSCODER_CPT(pipe)   ((pipe) << 29)
+#define  TRANSCODER_MASK        (1 << 30)
+#define  TRANSCODER_MASK_CPT    (3 << 29)
+#define  COLOR_FORMAT_8bpc      (0)
+#define  COLOR_FORMAT_12bpc     (3 << 26)
+#define  SDVOB_HOTPLUG_ENABLE   (1 << 23)
+#define  SDVO_ENCODING          (0)
+#define  TMDS_ENCODING          (2 << 10)
+#define  NULL_PACKET_VSYNC_ENABLE       (1 << 9)
+/* CPT */
+#define  HDMI_MODE_SELECT	(1 << 9)
+#define  DVI_MODE_SELECT	(0)
+#define  SDVOB_BORDER_ENABLE    (1 << 7)
+#define  AUDIO_ENABLE           (1 << 6)
+#define  VSYNC_ACTIVE_HIGH      (1 << 4)
+#define  HSYNC_ACTIVE_HIGH      (1 << 3)
+#define  PORT_DETECTED          (1 << 2)
+
+/* PCH SDVOB multiplex with HDMIB */
+#define PCH_SDVOB	HDMIB
+
+#define HDMIC   0xe1150
+#define HDMID   0xe1160
+
+#define PCH_LVDS	0xe1180
+#define  LVDS_DETECTED	(1 << 1)
+
+#define BLC_PWM_CPU_CTL2	0x48250
+#define  PWM_ENABLE		(1 << 31)
+#define  PWM_PIPE_A		(0 << 29)
+#define  PWM_PIPE_B		(1 << 29)
+#define BLC_PWM_CPU_CTL		0x48254
+
+#define BLC_PWM_PCH_CTL1	0xc8250
+#define  PWM_PCH_ENABLE		(1 << 31)
+#define  PWM_POLARITY_ACTIVE_LOW	(1 << 29)
+#define  PWM_POLARITY_ACTIVE_HIGH	(0 << 29)
+#define  PWM_POLARITY_ACTIVE_LOW2	(1 << 28)
+#define  PWM_POLARITY_ACTIVE_HIGH2	(0 << 28)
+
+#define BLC_PWM_PCH_CTL2	0xc8254
+
+#define PCH_PP_STATUS		0xc7200
+#define PCH_PP_CONTROL		0xc7204
+#define  PANEL_UNLOCK_REGS	(0xabcd << 16)
+#define  PANEL_UNLOCK_MASK	(0xffff << 16)
+#define  EDP_FORCE_VDD		(1 << 3)
+#define  EDP_BLC_ENABLE		(1 << 2)
+#define  PANEL_POWER_RESET	(1 << 1)
+#define  PANEL_POWER_OFF	(0 << 0)
+#define  PANEL_POWER_ON		(1 << 0)
+#define PCH_PP_ON_DELAYS	0xc7208
+#define  PANEL_PORT_SELECT_MASK	(3 << 30)
+#define  PANEL_PORT_SELECT_LVDS	(0 << 30)
+#define  PANEL_PORT_SELECT_DPA	(1 << 30)
+#define  EDP_PANEL		(1 << 30)
+#define  PANEL_PORT_SELECT_DPC	(2 << 30)
+#define  PANEL_PORT_SELECT_DPD	(3 << 30)
+#define  PANEL_POWER_UP_DELAY_MASK	(0x1fff0000)
+#define  PANEL_POWER_UP_DELAY_SHIFT	16
+#define  PANEL_LIGHT_ON_DELAY_MASK	(0x1fff)
+#define  PANEL_LIGHT_ON_DELAY_SHIFT	0
+
+#define PCH_PP_OFF_DELAYS	0xc720c
+#define  PANEL_POWER_DOWN_DELAY_MASK	(0x1fff0000)
+#define  PANEL_POWER_DOWN_DELAY_SHIFT	16
+#define  PANEL_LIGHT_OFF_DELAY_MASK	(0x1fff)
+#define  PANEL_LIGHT_OFF_DELAY_SHIFT	0
+
+#define PCH_PP_DIVISOR		0xc7210
+#define  PP_REFERENCE_DIVIDER_MASK	(0xffffff00)
+#define  PP_REFERENCE_DIVIDER_SHIFT	8
+#define  PANEL_POWER_CYCLE_DELAY_MASK	(0x1f)
+#define  PANEL_POWER_CYCLE_DELAY_SHIFT	0
+
+#define PCH_DP_B		0xe4100
+#define PCH_DPB_AUX_CH_CTL	0xe4110
+#define PCH_DPB_AUX_CH_DATA1	0xe4114
+#define PCH_DPB_AUX_CH_DATA2	0xe4118
+#define PCH_DPB_AUX_CH_DATA3	0xe411c
+#define PCH_DPB_AUX_CH_DATA4	0xe4120
+#define PCH_DPB_AUX_CH_DATA5	0xe4124
+
+#define PCH_DP_C		0xe4200
+#define PCH_DPC_AUX_CH_CTL	0xe4210
+#define PCH_DPC_AUX_CH_DATA1	0xe4214
+#define PCH_DPC_AUX_CH_DATA2	0xe4218
+#define PCH_DPC_AUX_CH_DATA3	0xe421c
+#define PCH_DPC_AUX_CH_DATA4	0xe4220
+#define PCH_DPC_AUX_CH_DATA5	0xe4224
+
+#define PCH_DP_D		0xe4300
+#define PCH_DPD_AUX_CH_CTL	0xe4310
+#define PCH_DPD_AUX_CH_DATA1	0xe4314
+#define PCH_DPD_AUX_CH_DATA2	0xe4318
+#define PCH_DPD_AUX_CH_DATA3	0xe431c
+#define PCH_DPD_AUX_CH_DATA4	0xe4320
+#define PCH_DPD_AUX_CH_DATA5	0xe4324
+
+/* CPT */
+#define  PORT_TRANS_A_SEL_CPT	0
+#define  PORT_TRANS_B_SEL_CPT	(1<<29)
+#define  PORT_TRANS_C_SEL_CPT	(2<<29)
+#define  PORT_TRANS_SEL_MASK	(3<<29)
+#define  PORT_TRANS_SEL_CPT(pipe)	((pipe) << 29)
+
+#define TRANS_DP_CTL_A		0xe0300
+#define TRANS_DP_CTL_B		0xe1300
+#define TRANS_DP_CTL_C		0xe2300
+#define TRANS_DP_CTL(pipe)	(TRANS_DP_CTL_A + (pipe) * 0x01000)
+#define  TRANS_DP_OUTPUT_ENABLE	(1<<31)
+#define  TRANS_DP_PORT_SEL_B	(0<<29)
+#define  TRANS_DP_PORT_SEL_C	(1<<29)
+#define  TRANS_DP_PORT_SEL_D	(2<<29)
+#define  TRANS_DP_PORT_SEL_NONE	(3<<29)
+#define  TRANS_DP_PORT_SEL_MASK	(3<<29)
+#define  TRANS_DP_AUDIO_ONLY	(1<<26)
+#define  TRANS_DP_ENH_FRAMING	(1<<18)
+#define  TRANS_DP_8BPC		(0<<9)
+#define  TRANS_DP_10BPC		(1<<9)
+#define  TRANS_DP_6BPC		(2<<9)
+#define  TRANS_DP_12BPC		(3<<9)
+#define  TRANS_DP_BPC_MASK	(3<<9)
+#define  TRANS_DP_VSYNC_ACTIVE_HIGH	(1<<4)
+#define  TRANS_DP_VSYNC_ACTIVE_LOW	0
+#define  TRANS_DP_HSYNC_ACTIVE_HIGH	(1<<3)
+#define  TRANS_DP_HSYNC_ACTIVE_LOW	0
+#define  TRANS_DP_SYNC_MASK	(3<<3)
+
+/* SNB eDP training params */
+/* SNB A-stepping */
+#define  EDP_LINK_TRAIN_400MV_0DB_SNB_A		(0x38<<22)
+#define  EDP_LINK_TRAIN_400MV_6DB_SNB_A		(0x02<<22)
+#define  EDP_LINK_TRAIN_600MV_3_5DB_SNB_A	(0x01<<22)
+#define  EDP_LINK_TRAIN_800MV_0DB_SNB_A		(0x0<<22)
+/* SNB B-stepping */
+#define  EDP_LINK_TRAIN_400_600MV_0DB_SNB_B	(0x0<<22)
+#define  EDP_LINK_TRAIN_400MV_3_5DB_SNB_B	(0x1<<22)
+#define  EDP_LINK_TRAIN_400_600MV_6DB_SNB_B	(0x3a<<22)
+#define  EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B	(0x39<<22)
+#define  EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B	(0x38<<22)
+#define  EDP_LINK_TRAIN_VOL_EMP_MASK_SNB	(0x3f<<22)
+
+/* IVB */
+#define EDP_LINK_TRAIN_400MV_0DB_IVB		(0x24 <<22)
+#define EDP_LINK_TRAIN_400MV_3_5DB_IVB		(0x2a <<22)
+#define EDP_LINK_TRAIN_400MV_6DB_IVB		(0x2f <<22)
+#define EDP_LINK_TRAIN_600MV_0DB_IVB		(0x30 <<22)
+#define EDP_LINK_TRAIN_600MV_3_5DB_IVB		(0x36 <<22)
+#define EDP_LINK_TRAIN_800MV_0DB_IVB		(0x38 <<22)
+#define EDP_LINK_TRAIN_800MV_3_5DB_IVB		(0x33 <<22)
+
+/* legacy values */
+#define EDP_LINK_TRAIN_500MV_0DB_IVB		(0x00 <<22)
+#define EDP_LINK_TRAIN_1000MV_0DB_IVB		(0x20 <<22)
+#define EDP_LINK_TRAIN_500MV_3_5DB_IVB		(0x02 <<22)
+#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB		(0x22 <<22)
+#define EDP_LINK_TRAIN_1000MV_6DB_IVB		(0x23 <<22)
+
+#define  EDP_LINK_TRAIN_VOL_EMP_MASK_IVB	(0x3f<<22)
+
+#define  FORCEWAKE				0xA18C
+#define  FORCEWAKE_ACK				0x130090
+#define  FORCEWAKE_MT				0xa188 /* multi-threaded */
+#define  FORCEWAKE_MT_ACK			0x130040
+#define  ECOBUS					0xa180
+#define    FORCEWAKE_MT_ENABLE			(1<<5)
+
+#define  GTFIFODBG				0x120000
+#define    GT_FIFO_CPU_ERROR_MASK		7
+#define    GT_FIFO_OVFERR			(1<<2)
+#define    GT_FIFO_IAWRERR			(1<<1)
+#define    GT_FIFO_IARDERR			(1<<0)
+
+#define  GT_FIFO_FREE_ENTRIES			0x120008
+#define    GT_FIFO_NUM_RESERVED_ENTRIES		20
+
+#define GEN6_UCGCTL1				0x9400
+# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE		(1 << 5)
+
+#define GEN6_UCGCTL2				0x9404
+# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE		(1 << 13)
+# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE		(1 << 12)
+# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE		(1 << 11)
+
+#define GEN6_RPNSWREQ				0xA008
+#define   GEN6_TURBO_DISABLE			(1<<31)
+#define   GEN6_FREQUENCY(x)			((x)<<25)
+#define   GEN6_OFFSET(x)			((x)<<19)
+#define   GEN6_AGGRESSIVE_TURBO			(0<<15)
+#define GEN6_RC_VIDEO_FREQ			0xA00C
+#define GEN6_RC_CONTROL				0xA090
+#define   GEN6_RC_CTL_RC6pp_ENABLE		(1<<16)
+#define   GEN6_RC_CTL_RC6p_ENABLE		(1<<17)
+#define   GEN6_RC_CTL_RC6_ENABLE		(1<<18)
+#define   GEN6_RC_CTL_RC1e_ENABLE		(1<<20)
+#define   GEN6_RC_CTL_RC7_ENABLE		(1<<22)
+#define   GEN6_RC_CTL_EI_MODE(x)		((x)<<27)
+#define   GEN6_RC_CTL_HW_ENABLE			(1<<31)
+#define GEN6_RP_DOWN_TIMEOUT			0xA010
+#define GEN6_RP_INTERRUPT_LIMITS		0xA014
+#define GEN6_RPSTAT1				0xA01C
+#define   GEN6_CAGF_SHIFT			8
+#define   GEN6_CAGF_MASK			(0x7f << GEN6_CAGF_SHIFT)
+#define GEN6_RP_CONTROL				0xA024
+#define   GEN6_RP_MEDIA_TURBO			(1<<11)
+#define   GEN6_RP_MEDIA_MODE_MASK		(3<<9)
+#define   GEN6_RP_MEDIA_HW_TURBO_MODE		(3<<9)
+#define   GEN6_RP_MEDIA_HW_NORMAL_MODE		(2<<9)
+#define   GEN6_RP_MEDIA_HW_MODE			(1<<9)
+#define   GEN6_RP_MEDIA_SW_MODE			(0<<9)
+#define   GEN6_RP_MEDIA_IS_GFX			(1<<8)
+#define   GEN6_RP_ENABLE			(1<<7)
+#define   GEN6_RP_UP_IDLE_MIN			(0x1<<3)
+#define   GEN6_RP_UP_BUSY_AVG			(0x2<<3)
+#define   GEN6_RP_UP_BUSY_CONT			(0x4<<3)
+#define   GEN6_RP_DOWN_IDLE_CONT		(0x1<<0)
+#define GEN6_RP_UP_THRESHOLD			0xA02C
+#define GEN6_RP_DOWN_THRESHOLD			0xA030
+#define GEN6_RP_CUR_UP_EI			0xA050
+#define   GEN6_CURICONT_MASK			0xffffff
+#define GEN6_RP_CUR_UP				0xA054
+#define   GEN6_CURBSYTAVG_MASK			0xffffff
+#define GEN6_RP_PREV_UP				0xA058
+#define GEN6_RP_CUR_DOWN_EI			0xA05C
+#define   GEN6_CURIAVG_MASK			0xffffff
+#define GEN6_RP_CUR_DOWN			0xA060
+#define GEN6_RP_PREV_DOWN			0xA064
+#define GEN6_RP_UP_EI				0xA068
+#define GEN6_RP_DOWN_EI				0xA06C
+#define GEN6_RP_IDLE_HYSTERSIS			0xA070
+#define GEN6_RC_STATE				0xA094
+#define GEN6_RC1_WAKE_RATE_LIMIT		0xA098
+#define GEN6_RC6_WAKE_RATE_LIMIT		0xA09C
+#define GEN6_RC6pp_WAKE_RATE_LIMIT		0xA0A0
+#define GEN6_RC_EVALUATION_INTERVAL		0xA0A8
+#define GEN6_RC_IDLE_HYSTERSIS			0xA0AC
+#define GEN6_RC_SLEEP				0xA0B0
+#define GEN6_RC1e_THRESHOLD			0xA0B4
+#define GEN6_RC6_THRESHOLD			0xA0B8
+#define GEN6_RC6p_THRESHOLD			0xA0BC
+#define GEN6_RC6pp_THRESHOLD			0xA0C0
+#define GEN6_PMINTRMSK				0xA168
+
+#define GEN6_PMISR				0x44020
+#define GEN6_PMIMR				0x44024 /* rps_lock */
+#define GEN6_PMIIR				0x44028
+#define GEN6_PMIER				0x4402C
+#define  GEN6_PM_MBOX_EVENT			(1<<25)
+#define  GEN6_PM_THERMAL_EVENT			(1<<24)
+#define  GEN6_PM_RP_DOWN_TIMEOUT		(1<<6)
+#define  GEN6_PM_RP_UP_THRESHOLD		(1<<5)
+#define  GEN6_PM_RP_DOWN_THRESHOLD		(1<<4)
+#define  GEN6_PM_RP_UP_EI_EXPIRED		(1<<2)
+#define  GEN6_PM_RP_DOWN_EI_EXPIRED		(1<<1)
+#define  GEN6_PM_DEFERRED_EVENTS		(GEN6_PM_RP_UP_THRESHOLD | \
+						 GEN6_PM_RP_DOWN_THRESHOLD | \
+						 GEN6_PM_RP_DOWN_TIMEOUT)
+
+#define GEN6_PCODE_MAILBOX			0x138124
+#define   GEN6_PCODE_READY			(1<<31)
+#define   GEN6_READ_OC_PARAMS			0xc
+#define   GEN6_PCODE_WRITE_MIN_FREQ_TABLE	0x8
+#define   GEN6_PCODE_READ_MIN_FREQ_TABLE	0x9
+#define GEN6_PCODE_DATA				0x138128
+#define   GEN6_PCODE_FREQ_IA_RATIO_SHIFT	8
+
+#define GEN6_GT_CORE_STATUS		0x138060
+#define   GEN6_CORE_CPD_STATE_MASK	(7<<4)
+#define   GEN6_RCn_MASK			7
+#define   GEN6_RC0			0
+#define   GEN6_RC3			2
+#define   GEN6_RC6			3
+#define   GEN6_RC7			4
+
+#define G4X_AUD_VID_DID			0x62020
+#define INTEL_AUDIO_DEVCL		0x808629FB
+#define INTEL_AUDIO_DEVBLC		0x80862801
+#define INTEL_AUDIO_DEVCTG		0x80862802
+
+#define G4X_AUD_CNTL_ST			0x620B4
+#define G4X_ELDV_DEVCL_DEVBLC		(1 << 13)
+#define G4X_ELDV_DEVCTG			(1 << 14)
+#define G4X_ELD_ADDR			(0xf << 5)
+#define G4X_ELD_ACK			(1 << 4)
+#define G4X_HDMIW_HDMIEDID		0x6210C
+
+#define IBX_HDMIW_HDMIEDID_A		0xE2050
+#define IBX_AUD_CNTL_ST_A		0xE20B4
+#define IBX_ELD_BUFFER_SIZE		(0x1f << 10)
+#define IBX_ELD_ADDRESS			(0x1f << 5)
+#define IBX_ELD_ACK			(1 << 4)
+#define IBX_AUD_CNTL_ST2		0xE20C0
+#define IBX_ELD_VALIDB			(1 << 0)
+#define IBX_CP_READYB			(1 << 1)
+
+#define CPT_HDMIW_HDMIEDID_A		0xE5050
+#define CPT_AUD_CNTL_ST_A		0xE50B4
+#define CPT_AUD_CNTRL_ST2		0xE50C0
+
+/* These are the 4 32-bit write offset registers for each stream
+ * output buffer.  It determines the offset from the
+ * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
+ */
+#define GEN7_SO_WRITE_OFFSET(n)		(0x5280 + (n) * 4)
+
+#define IBX_AUD_CONFIG_A			0xe2000
+#define CPT_AUD_CONFIG_A			0xe5000
+#define   AUD_CONFIG_N_VALUE_INDEX		(1 << 29)
+#define   AUD_CONFIG_N_PROG_ENABLE		(1 << 28)
+#define   AUD_CONFIG_UPPER_N_SHIFT		20
+#define   AUD_CONFIG_UPPER_N_VALUE		(0xff << 20)
+#define   AUD_CONFIG_LOWER_N_SHIFT		4
+#define   AUD_CONFIG_LOWER_N_VALUE		(0xfff << 4)
+#define   AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT	16
+#define   AUD_CONFIG_PIXEL_CLOCK_HDMI		(0xf << 16)
+#define   AUD_CONFIG_DISABLE_NCTS		(1 << 3)
+
+#endif /* _I915_REG_H_ */
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/i915io.c b/src/mainboard/gigabyte/ga-b75m-d3h/i915io.c
new file mode 100644
index 0000000..ba03c38
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/i915io.c
@@ -0,0 +1,3143 @@
+{V, 7},
+{M, 1, "[drm] Initialized drm 1.1.0 20060810", },
+{M, 1, "i915 0000 00 02.0 setting latency timer to 64", },
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PP_ON_DELAYS, 0x0, 0},
+{R, 1, "", PP_OFF_DELAYS, 0x0, 0},
+{W, 1, "", PP_ON_DELAYS, 0x19007d0, 0},
+{W, 1, "", PP_OFF_DELAYS, 0x15e07d0, 0},
+{W, 1, "", 0x100000, 0x0, 0},
+{W, 1, "", 0x100008, 0x0, 0},
+{W, 1, "", 0x100010, 0x0, 0},
+{W, 1, "", 0x100018, 0x0, 0},
+{W, 1, "", 0x100020, 0x0, 0},
+{W, 1, "", 0x100028, 0x0, 0},
+{W, 1, "", 0x100030, 0x0, 0},
+{W, 1, "", 0x100038, 0x0, 0},
+{W, 1, "", 0x100040, 0x0, 0},
+{W, 1, "", 0x100048, 0x0, 0},
+{W, 1, "", 0x100050, 0x0, 0},
+{W, 1, "", 0x100058, 0x0, 0},
+{W, 1, "", 0x100060, 0x0, 0},
+{W, 1, "", 0x100068, 0x0, 0},
+{W, 1, "", 0x100070, 0x0, 0},
+{W, 1, "", 0x100078, 0x0, 0},
+{R, 1, "", 0x145004, 0x600008, 0},
+{R, 1, "", 0x145008, 0x600008, 0},
+{M, 1, "i915 0000 00 02.0 irq 44 for MSI/MSI-X", },
+{M, 1, "[drm] Supports vblank timestamp caching Rev 1 (10.10.2010).", },
+{M, 1, "[drm] Driver supports precise vblank timestamp query.", },
+{R, 1, "", 0x145d10, 0x16040307, 0},
+{R, 1, "", _PIPEACONF, 0xd8000050, 0},
+{W, 1, "", _PIPEACONF, 0xc0000050, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{W, 1, "", _PIPEBCONF, 0x0, 0},
+{R, 1, "", _PIPEACONF, 0xc0000050, 0},
+{W, 1, "", _PIPEACONF, 0xc0000050, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{W, 1, "", _PIPEBCONF, 0x0, 300},
+{W, 1, "", CPU_VGACNTRL, 0x80000000, 0},
+{R, 1, "", CPU_VGACNTRL, 0x80000000, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{W, 1, "", PCH_GMBUS0, 0x3, 0},
+{W, 1, "", PCH_GMBUS1, 0x460100a1, 0},
+{R, 1, "", PCH_GMBUS2, 0x200, 0},
+{R, 3, "", PCH_GMBUS2, 0x8200, 0},
+{R, 1, "", PCH_GMBUS2, 0xca00, 0},
+{R, 1, "", PCH_GMBUS3, 0xe1003200, 0},
+{R, 1, "", PCH_GMBUS2, 0xc200, 0},
+{W, 1, "", PCH_GMBUS1, 0x48000000, 0},
+{R, 1, "", PCH_GMBUS2, 0x8200, 0},
+{R, 1, "", PCH_GMBUS2, 0x8800, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{W, 1, "", PCH_GMBUS0, 0x3, 0},
+{W, 1, "", PCH_GMBUS1, 0x468000a1, 0},
+{R, 4, "", PCH_GMBUS2, 0x8200, 0},
+{R, 1, "", PCH_GMBUS2, 0x8201, 0},
+{R, 1, "", PCH_GMBUS2, 0x8203, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a04, 0},
+{R, 1, "", PCH_GMBUS3, 0xffffff00, 0},
+{R, 1, "", PCH_GMBUS2, 0x8204, 0},
+{R, 1, "", PCH_GMBUS2, 0x8206, 0},
+{R, 1, "", PCH_GMBUS2, 0x8207, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a08, 0},
+{R, 1, "", PCH_GMBUS3, 0xffffff, 0},
+{R, 1, "", PCH_GMBUS2, 0x8209, 0},
+{R, 1, "", PCH_GMBUS2, 0x820a, 0},
+{R, 1, "", PCH_GMBUS2, 0x820b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a0d, 0},
+{R, 1, "", PCH_GMBUS3, 0x3143a34c, 0},
+{R, 1, "", PCH_GMBUS2, 0x820d, 0},
+{R, 1, "", PCH_GMBUS2, 0x820e, 0},
+{R, 1, "", PCH_GMBUS2, 0x820f, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a11, 0},
+{R, 1, "", PCH_GMBUS3, 0x0, 0},
+{R, 1, "", PCH_GMBUS2, 0x8211, 0},
+{R, 1, "", PCH_GMBUS2, 0x8212, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a14, 0},
+{R, 1, "", PCH_GMBUS3, 0x3011500, 0},
+{R, 1, "", PCH_GMBUS2, 0x8214, 0},
+{R, 1, "", PCH_GMBUS2, 0x8215, 0},
+{R, 1, "", PCH_GMBUS2, 0x8217, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a18, 0},
+{R, 1, "", PCH_GMBUS3, 0x780e1a80, 0},
+{R, 1, "", PCH_GMBUS2, 0x8218, 0},
+{R, 1, "", PCH_GMBUS2, 0x8219, 0},
+{R, 1, "", PCH_GMBUS2, 0x821b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a1c, 0},
+{R, 1, "", PCH_GMBUS3, 0x91851dea, 0},
+{R, 1, "", PCH_GMBUS2, 0x821c, 0},
+{R, 1, "", PCH_GMBUS2, 0x821e, 0},
+{R, 1, "", PCH_GMBUS2, 0x821f, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a20, 0},
+{R, 1, "", PCH_GMBUS3, 0x268f5956, 0},
+{R, 1, "", PCH_GMBUS2, 0x8220, 0},
+{R, 1, "", PCH_GMBUS2, 0x8222, 0},
+{R, 1, "", PCH_GMBUS2, 0x8223, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a24, 0},
+{R, 1, "", PCH_GMBUS3, 0x545018, 0},
+{R, 1, "", PCH_GMBUS2, 0x8225, 0},
+{R, 1, "", PCH_GMBUS2, 0x8226, 0},
+{R, 1, "", PCH_GMBUS2, 0x8227, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a29, 0},
+{R, 1, "", PCH_GMBUS3, 0x1010000, 0},
+{R, 1, "", PCH_GMBUS2, 0x8229, 0},
+{R, 1, "", PCH_GMBUS2, 0x822a, 0},
+{R, 1, "", PCH_GMBUS2, 0x822b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a2d, 0},
+{R, 1, "", PCH_GMBUS3, 0x1010101, 0},
+{R, 1, "", PCH_GMBUS2, 0x822d, 0},
+{R, 1, "", PCH_GMBUS2, 0x822e, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a30, 0},
+{R, 1, "", PCH_GMBUS3, 0x1010101, 0},
+{R, 1, "", PCH_GMBUS2, 0x8230, 0},
+{R, 1, "", PCH_GMBUS2, 0x8231, 0},
+{R, 1, "", PCH_GMBUS2, 0x8233, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a34, 0},
+{R, 1, "", PCH_GMBUS3, 0x1010101, 0},
+{R, 1, "", PCH_GMBUS2, 0x8234, 0},
+{R, 1, "", PCH_GMBUS2, 0x8235, 0},
+{R, 1, "", PCH_GMBUS2, 0x8237, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a38, 0},
+{R, 1, "", PCH_GMBUS3, 0x1db90101, 0},
+{R, 1, "", PCH_GMBUS2, 0x8238, 0},
+{R, 1, "", PCH_GMBUS2, 0x823a, 0},
+{R, 1, "", PCH_GMBUS2, 0x823b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a3c, 0},
+{R, 1, "", PCH_GMBUS3, 0x50f056, 0},
+{R, 1, "", PCH_GMBUS2, 0x823d, 0},
+{R, 1, "", PCH_GMBUS2, 0x823e, 0},
+{R, 1, "", PCH_GMBUS2, 0x823f, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a40, 0},
+{R, 1, "", PCH_GMBUS3, 0x20303016, 0},
+{R, 1, "", PCH_GMBUS2, 0x8241, 0},
+{R, 1, "", PCH_GMBUS2, 0x8242, 0},
+{R, 1, "", PCH_GMBUS2, 0x8243, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a45, 0},
+{R, 1, "", PCH_GMBUS3, 0x90000025, 0},
+{R, 1, "", PCH_GMBUS2, 0x8245, 0},
+{R, 1, "", PCH_GMBUS2, 0x8246, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a48, 0},
+{R, 1, "", PCH_GMBUS3, 0x19000010, 0},
+{R, 1, "", PCH_GMBUS2, 0x8248, 0},
+{R, 1, "", PCH_GMBUS2, 0x8249, 0},
+{R, 1, "", PCH_GMBUS2, 0x824a, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a4c, 0},
+{R, 1, "", PCH_GMBUS3, 0xf000000, 0},
+{R, 1, "", PCH_GMBUS2, 0x824c, 0},
+{R, 1, "", PCH_GMBUS2, 0x824d, 0},
+{R, 1, "", PCH_GMBUS2, 0x824f, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a50, 0},
+{R, 1, "", PCH_GMBUS3, 0x0, 0},
+{R, 1, "", PCH_GMBUS2, 0x8250, 0},
+{R, 1, "", PCH_GMBUS2, 0x8251, 0},
+{R, 1, "", PCH_GMBUS2, 0x8253, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a54, 0},
+{R, 1, "", PCH_GMBUS3, 0x0, 0},
+{R, 1, "", PCH_GMBUS2, 0x8254, 0},
+{R, 1, "", PCH_GMBUS2, 0x8256, 0},
+{R, 1, "", PCH_GMBUS2, 0x8257, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a58, 0},
+{R, 1, "", PCH_GMBUS3, 0x6d92500, 0},
+{R, 1, "", PCH_GMBUS2, 0x8258, 0},
+{R, 1, "", PCH_GMBUS2, 0x825a, 0},
+{R, 1, "", PCH_GMBUS2, 0x825b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a5d, 0},
+{R, 1, "", PCH_GMBUS3, 0x6a, 0},
+{R, 1, "", PCH_GMBUS2, 0x825d, 0},
+{R, 1, "", PCH_GMBUS2, 0x825e, 0},
+{R, 1, "", PCH_GMBUS2, 0x825f, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a61, 0},
+{R, 1, "", PCH_GMBUS3, 0x5300fe00, 0},
+{R, 1, "", PCH_GMBUS2, 0x8261, 0},
+{R, 1, "", PCH_GMBUS2, 0x8262, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a64, 0},
+{R, 1, "", PCH_GMBUS3, 0x55534d41, 0},
+{R, 1, "", PCH_GMBUS2, 0x8264, 0},
+{R, 1, "", PCH_GMBUS2, 0x8265, 0},
+{R, 1, "", PCH_GMBUS2, 0x8266, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a68, 0},
+{R, 1, "", PCH_GMBUS3, 0x200a474e, 0},
+{R, 1, "", PCH_GMBUS2, 0x8268, 0},
+{R, 1, "", PCH_GMBUS2, 0x8269, 0},
+{R, 1, "", PCH_GMBUS2, 0x826b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a6c, 0},
+{R, 1, "", PCH_GMBUS3, 0x5441a34c, 0},
+{R, 1, "", PCH_GMBUS2, 0x826c, 0},
+{R, 1, "", PCH_GMBUS2, 0x826d, 0},
+{R, 1, "", PCH_GMBUS2, 0x826f, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a70, 0},
+{R, 1, "", PCH_GMBUS3, 0xfe000000, 0},
+{R, 1, "", PCH_GMBUS2, 0x8270, 0},
+{R, 1, "", PCH_GMBUS2, 0x8272, 0},
+{R, 1, "", PCH_GMBUS2, 0x8273, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a74, 0},
+{R, 1, "", PCH_GMBUS3, 0x4e544c00, 0},
+{R, 1, "", PCH_GMBUS2, 0x8275, 0},
+{R, 1, "", PCH_GMBUS2, 0x8276, 0},
+{R, 1, "", PCH_GMBUS2, 0x8277, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a78, 0},
+{R, 1, "", PCH_GMBUS3, 0x41363131, 0},
+{R, 1, "", PCH_GMBUS2, 0x8279, 0},
+{R, 1, "", PCH_GMBUS2, 0x827a, 0},
+{R, 1, "", PCH_GMBUS2, 0x827b, 0},
+{R, 1, "", PCH_GMBUS2, 0x8a7d, 0},
+{R, 1, "", PCH_GMBUS3, 0x34363054, 0},
+{R, 1, "", PCH_GMBUS2, 0x827d, 0},
+{R, 1, "", PCH_GMBUS2, 0x827e, 0},
+{R, 1, "", PCH_GMBUS2, 0xca80, 0},
+{R, 1, "", PCH_GMBUS3, 0xe1003230, 0},
+{R, 1, "", PCH_GMBUS2, 0xc200, 0},
+{W, 1, "", PCH_GMBUS1, 0x48000000, 0},
+{R, 1, "", PCH_GMBUS2, 0x8200, 0},
+{R, 1, "", PCH_GMBUS2, 0x8800, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{R, 1, "", BLC_PWM_CPU_CTL2, 0x80000000, 0},
+{W, 1, "", BLC_PWM_CPU_CTL2, 0x80000000, 0},
+{R, 1, "", BLC_PWM_PCH_CTL1, 0x80000000, 0},
+{W, 1, "", BLC_PWM_PCH_CTL1, 0x80000000, 0},
+{R, 1, "", PCH_PP_CONTROL, 0x3, 0},
+{W, 1, "", PCH_PP_CONTROL, 0xabcd0003, 0},
+{R, 1, "", BLC_PWM_CPU_CTL, 0x1312, 0},
+{R, 1, "", BLC_PWM_PCH_CTL2, 0x13121312, 0},
+{R, 1, "", BLC_PWM_CPU_CTL, 0x1312, 0},
+{R, 1, "", 0x64000, 0x18, 0},
+{R, 1, "", PCH_ADPA, 0x40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_GPIOE, 0x1810, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1810, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1810, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", _VIDEO_DIP_CTL_A, 0x0, 0},
+{W, 1, "", _VIDEO_DIP_CTL_B, 0x0, 0},
+{R, 1, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x144003e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x40000000, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x40000000, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x40000000, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x40000000, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x40000000, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x0, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x0, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x0, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x0, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x0, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd235003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{R, 1, "", HDMIC, 0x18, 0},
+{R, 1, "", HDMID, 0x18, 0},
+{R, 1, "", PCH_DP_C, 0x0, 0},
+{R, 1, "", PCH_DP_D, 0x0, 0},
+{R, 1, "", BLC_PWM_CPU_CTL, 0x1312, 0},
+{W, 1, "", BLC_PWM_CPU_CTL, 0x0, 0},
+{R, 1, "", PCH_PP_CONTROL, 0xabcd0003, 0},
+{W, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{R, 1, "", PCH_PP_STATUS, 0xc0000008, 0},
+{R, 1625, "", PCH_PP_STATUS, 0xe0000003, 0},
+{R, 406, "", PCH_PP_STATUS, 0xe0000002, 0},
+{R, 1, "", PCH_PP_STATUS, 0x48000001, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{W, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40c00, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 2, "", PCH_DP_B, 0x4, 0},
+{W, 1, "", DEIMR, 0x80, 0},
+{R, 1, "", DEIMR, 0x80, 0},
+{R, 1, "", _PIPEACONF, 0xc0000050, 0},
+{R, 1, "", _PIPEA_FRMCOUNT_GM45, 0x306, 0},
+{R, 1, "", _PIPEACONF, 0xc0000050, 0},
+{R, 1, "", _PIPEA_FRMCOUNT_GM45, 0x306, 0},
+{R, 1, "", _DSPACNTR, 0x94000000, 0},
+{W, 1, "", _DSPACNTR, 0x14000000, 0},
+{R, 1, "", _DSPAADDR, 0x0, 0},
+{W, 1, "", _DSPAADDR, 0x0, 0},
+{R, 1, "", _DSPASIZE+0xc, 0x0, 0},
+{W, 1, "", _DSPASIZE+0xc, 0x0, 0},
+{R, 1, "", _PIPEASTAT, 0x0, 0},
+{W, 1, "", _PIPEASTAT, 0x2, 0},
+{R, 416, "", _PIPEASTAT, 0x0, 0},
+{R, 1, "", ILK_DPFC_CONTROL, 0x0, 0},
+{R, 1, "", _DSPACNTR, 0x14000000, 0},
+{R, 1, "", _PIPEACONF, 0xc0000050, 0},
+{W, 1, "", _PIPEACONF, 0x40000050, 0},
+{R, 117, "", _PIPEACONF, 0x40000050, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", _PFA_CTL_1, 0x0, 0},
+{W, 1, "", _PFA_WIN_SZ, 0x0, 0},
+{R, 1, "", _FDI_TXA_CTL, 0xb0044000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x30044000, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x30044000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022350, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x22350, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22350, 100},
+{R, 1, "", SOUTH_CHICKEN1, 0x0, 0},
+{W, 2, "", SOUTH_CHICKEN1, 0x0, 0},
+{R, 1, "", SOUTH_CHICKEN1, 0x0, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x30044000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x44000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22350, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x22050, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22050, 100},
+{R, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{W, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{R, 1, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", PCH_DP_C, 0x0, 0},
+{R, 1, "", PCH_DP_D, 0x0, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIC, 0x18, 0},
+{R, 1, "", HDMID, 0x18, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x44000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22050, 0},
+{R, 1, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", PCH_DP_C, 0x0, 0},
+{R, 1, "", PCH_DP_D, 0x0, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIC, 0x18, 0},
+{R, 1, "", HDMID, 0x18, 0},
+{R, 1, "", _TRANSACONF, 0xc0000000, 0},
+{W, 1, "", _TRANSACONF, 0x40000000, 0},
+{R, 131, "", _TRANSACONF, 0x40000000, 0},
+{R, 1, "", _TRANSACONF, 0x0, 0},
+{R, 1, "", TRANS_DP_CTL_A, 0x60000418, 0},
+{W, 1, "", TRANS_DP_CTL_A, 0x60000418, 0},
+{R, 1, "", PCH_DPLL_SEL, 0x8, 0},
+{W, 1, "", PCH_DPLL_SEL, 0x0, 0},
+{R, 1, "", _TRANSACONF, 0x0, 0},
+{R, 1, "", PCH_DPLL_SEL, 0x0, 0},
+{R, 1, "", _PCH_DPLL_A, 0x88040004, 0},
+{W, 1, "", _PCH_DPLL_A, 0x8040004, 0},
+{R, 1, "", _PCH_DPLL_A, 0x8040004, 200},
+{R, 1, "", _FDI_RXA_CTL, 0x22050, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x22040, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x44000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x40000, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x40000, 100},
+{R, 1, "", _FDI_RXA_CTL, 0x22040, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x20040, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x20040, 100},
+{R, 1, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM3_LP_ILK, 0x0, 0},
+{W, 1, "", WM2_LP_ILK, 0x0, 0},
+{W, 1, "", WM1_LP_ILK, 0x0, 0},
+{R, 1, "", ILK_DPFC_CONTROL, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 12, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", 0x3c, 0x0, 0},
+{R, 1, "", _DSPACNTR, 0x14000000, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", DEIMR, 0x8080, 0},
+{R, 1, "", DEIMR, 0x8080, 0},
+{R, 2, "", _PIPEBCONF, 0x0, 0},
+{R, 2, "", _DSPBCNTR, 0x0, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{W, 1, "", _PFB_CTL_1, 0x0, 0},
+{W, 1, "", _PFB_WIN_SZ, 0x0, 0},
+{R, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{W, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{R, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{W, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 100},
+{R, 1, "", SOUTH_CHICKEN1, 0x0, 0},
+{W, 2, "", SOUTH_CHICKEN1, 0x0, 0},
+{R, 1, "", SOUTH_CHICKEN1, 0x0, 0},
+{R, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{W, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{W, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 100},
+{R, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{W, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{R, 1, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", PCH_DP_C, 0x0, 0},
+{R, 1, "", PCH_DP_D, 0x0, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIC, 0x18, 0},
+{R, 1, "", HDMID, 0x18, 0},
+{R, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", PCH_DP_C, 0x0, 0},
+{R, 1, "", PCH_DP_D, 0x0, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIC, 0x18, 0},
+{R, 1, "", HDMID, 0x18, 0},
+{R, 1, "", _TRANSBCONF, 0x0, 0},
+{W, 1, "", _TRANSBCONF, 0x0, 0},
+{R, 1, "", _TRANSBCONF, 0x0, 0},
+{R, 1, "", TRANS_DP_CTL_B, 0x60000018, 0},
+{W, 1, "", TRANS_DP_CTL_B, 0x60000018, 0},
+{R, 1, "", PCH_DPLL_SEL, 0x0, 0},
+{W, 1, "", PCH_DPLL_SEL, 0x0, 0},
+{R, 1, "", _TRANSBCONF, 0x0, 0},
+{R, 1, "", PCH_DPLL_SEL, 0x0, 0},
+{R, 1, "", _PCH_DPLL_B, 0x4800080, 0},
+{W, 1, "", _PCH_DPLL_B, 0x4800080, 0},
+{R, 1, "", _PCH_DPLL_B, 0x4800080, 200},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{W, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{W, 1, "", _FDI_TXB_CTL, 0x40000, 0},
+{R, 1, "", _FDI_TXB_CTL, 0x40000, 100},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{W, 1, "", _FDI_RXB_CTL, 0x40, 0},
+{R, 1, "", _FDI_RXB_CTL, 0x40, 100},
+{R, 1, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM3_LP_ILK, 0x0, 0},
+{W, 1, "", WM2_LP_ILK, 0x0, 0},
+{W, 1, "", WM1_LP_ILK, 0x0, 0},
+{R, 1, "", ILK_DPFC_CONTROL, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 10, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", 0x3c, 0x0, 0},
+{R, 1, "", _DSPBCNTR, 0x0, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{R, 1, "", PCH_DREF_CONTROL, 0x400, 0},
+{W, 1, "", PCH_DREF_CONTROL, 0x1400, 0},
+{R, 1, "", PCH_DREF_CONTROL, 0x1400, 200},
+{W, 1, "", PCH_DREF_CONTROL, 0x1400, 0},
+{R, 1, "", PCH_DREF_CONTROL, 0x1400, 200},
+{W, 1, "", ILK_DSPCLK_GATE, 0x10000000, 0},
+{R, 1, "", ILK_DISPLAY_CHICKEN2, 0x200c000, 0},
+{W, 1, "", ILK_DISPLAY_CHICKEN2, 0x200c000, 0},
+{W, 1, "", WM3_LP_ILK, 0x0, 0},
+{W, 1, "", WM2_LP_ILK, 0x0, 0},
+{W, 1, "", WM1_LP_ILK, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 8, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", 0x9400, 0x2f00080, 0},
+{R, 1, "", 0x120008, 0x3f, 0},
+{W, 1, "", 0x9400, 0x2f000a0, 0},
+{W, 1, "", 0x9404, 0x1800, 0},
+{R, 1, "", ILK_DISPLAY_CHICKEN1, 0x0, 0},
+{W, 1, "", ILK_DISPLAY_CHICKEN1, 0x600000, 0},
+{R, 1, "", ILK_DISPLAY_CHICKEN2, 0x200c000, 0},
+{W, 1, "", ILK_DISPLAY_CHICKEN2, 0x260c000, 0},
+{R, 1, "", ILK_DSPCLK_GATE, 0x10000000, 0},
+{W, 1, "", ILK_DSPCLK_GATE, 0x100000a0, 0},
+{R, 1, "", _DSPACNTR, 0x14000000, 0},
+{W, 1, "", _DSPACNTR, 0x14004000, 0},
+{R, 1, "", _DSPAADDR, 0x0, 0},
+{W, 1, "", _DSPAADDR, 0x0, 0},
+{R, 1, "", _DSPASIZE+0xc, 0x0, 0},
+{W, 1, "", _DSPASIZE+0xc, 0x0, 0},
+{R, 1, "", _DSPBCNTR, 0x0, 0},
+{W, 1, "", _DSPBCNTR, 0x4000, 0},
+{R, 1, "", _DSPBADDR, 0x0, 0},
+{W, 1, "", _DSPBADDR, 0x0, 0},
+{R, 1, "", _DSPBSURF, 0x0, 0},
+{W, 1, "", _DSPBSURF, 0x0, 0},
+{W, 1, "", SOUTH_DSPCLK_GATE_D, 0x20000000, 0},
+{R, 1, "", SOUTH_CHICKEN2, 0x4, 0},
+{W, 1, "", SOUTH_CHICKEN2, 0x5, 0},
+{W, 1, "", _TRANSA_CHICKEN2, 0x80000000, 0},
+{W, 1, "", _TRANSB_CHICKEN2, 0x80000000, 0},
+{R, 1, "", 0x145998, 0x70714, 0},
+{R, 1, "", 0x145948, 0x729, 0},
+{W, 1, "", 0xa094, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 4, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa090, 0x0, 0},
+{W, 1, "", 0xa098, 0x3e80000, 0},
+{W, 1, "", 0xa09c, 0x28001e, 0},
+{W, 1, "", 0xa0a0, 0x1e, 0},
+{W, 1, "", 0xa0a8, 0x1e848, 0},
+{W, 1, "", 0xa0ac, 0x19, 0},
+{W, 3, "", 0x54, 0xa, 0},
+{W, 1, "", 0xa0b0, 0x0, 0},
+{W, 1, "", 0xa0b4, 0x3e8, 0},
+{W, 1, "", 0xa0b8, 0xc350, 0},
+{W, 1, "", 0xa0bc, 0x186a0, 0},
+{W, 1, "", 0xa0c0, 0xfa00, 0},
+{M, 1, "[drm] Enabling RC6 states RC6 on, RC6p off, RC6pp off", },
+{W, 1, "", 0xa090, 0x88040000, 0},
+{W, 1, "", _PALETTE_A+0x8, 0x14000000, 0},
+{W, 1, "", _PALETTE_A+0xc, 0x18000000, 0},
+{W, 1, "", _PALETTE_A+0x10, 0x2710, 0},
+{W, 1, "", _PALETTE_A+0x14, 0x12060000, 0},
+{W, 1, "", _PALETTE_A+0x2c, 0x4000, 0},
+{W, 1, "", _PALETTE_A+0x30, 0x4000, 0},
+{W, 1, "", _PALETTE_A+0x68, 0x186a0, 0},
+{W, 1, "", _PALETTE_A+0x6c, 0x4c4b40, 0},
+{W, 1, "", _PALETTE_A+0x70, 0xa, 0},
+{W, 1, "", _PALETTE_A+0x24, 0xb91, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x0, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 2, "", 0x138124, 0x10, 0},
+{W, 1, "", 0x138124, 0xc, 0},
+{R, 1, "", 0x138128, 0x0, 0},
+{R, 1, "", 0x138124, 0xc, 0},
+{W, 1, "", GEN6_PMIER, 0x3000076, 0},
+{W, 1, "", GEN6_PMISR+0x4, 0x0, 0},
+{W, 1, "", 0xa168, 0x0, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{W, 1, "", 0x138128, 0xe14, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0xd13, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0xc12, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0xb11, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0xa10, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x90f, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x80e, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x80d, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x80c, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x80b, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x80a, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x809, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x808, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{W, 1, "", 0x138128, 0x807, 0},
+{W, 1, "", 0x138124, 0x80000008, 0},
+{R, 1, "", 0x138124, 0x0, 0},
+{R, 1, "", DISP_ARB_CTL, 0xc2240622, 0},
+{W, 1, "", DISP_ARB_CTL, 0xc2242622, 0},
+{R, 1, "", 0x101000, 0x0, 0},
+{W, 1, "", 0x101000, 0x1, 0},
+{W, 1, "", ARB_MODE, 0x100010, 0},
+{W, 1, "", 0x4080, 0x0, 0},
+{R, 1, "", 0x4080, 0x0, 0},
+{W, 1, "", PRB0_CTL, 0x0, 0},
+{W, 1, "", PRB0_HEAD, 0x0, 0},
+{W, 1, "", PRB0_TAIL, 0x0, 0},
+{W, 1, "", PRB0_START, 0x1000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", PRB0_HEAD, 0x0, 0},
+{W, 1, "", PRB0_CTL, 0x1f001, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", PRB0_CTL, 0x1f001, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", PRB0_START, 0x1000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 3, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", PRB0_HEAD, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", PRB0_HEAD, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 4, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", PRB0_TAIL, 0x0, 0},
+{W, 1, "", MI_MODE, 0x400040, 0},
+{W, 1, "", 0x2120, 0x200000, 0},
+{W, 1, "", INSTPM, 0x800080, 0},
+{W, 1, "", 0x14080, 0x22000, 0},
+{R, 1, "", 0x14080, 0x0, 0},
+{W, 1, "", GEN6_BSD_RING_BASE+0x3c, 0x0, 0},
+{W, 1, "", GEN6_BSD_RING_BASE+0x34, 0x0, 0},
+{W, 1, "", GEN6_BSD_SLEEP_PSMI_CONTROL, 0x10001, 0},
+{W, 1, "", GEN6_BSD_RNCID, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 3, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_SLEEP_PSMI_CONTROL, 0x11, 0},
+{R, 1, "", 0x120008, 0x3f, 0},
+{W, 1, "", GEN6_BSD_RING_BASE+0x30, 0x0, 0},
+{W, 1, "", GEN6_BSD_SLEEP_PSMI_CONTROL, 0x10000, 0},
+{W, 1, "", GEN6_BSD_RING_BASE+0x38, 0x23000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_RING_BASE+0x34, 0x0, 0},
+{W, 1, "", GEN6_BSD_RING_BASE+0x3c, 0x1f001, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 4, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_RING_BASE+0x3c, 0x1f001, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_RING_BASE+0x38, 0x23000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 3, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_RING_BASE+0x34, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_RING_BASE+0x34, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 4, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", GEN6_BSD_RING_BASE+0x30, 0x0, 0},
+{W, 1, "", 0x24080, 0x43000, 0},
+{R, 1, "", 0x24080, 0x43000, 0},
+{W, 1, "", BLT_RING_BASE+0x3c, 0x0, 0},
+{W, 1, "", BLT_RING_BASE+0x34, 0x0, 0},
+{W, 1, "", BLT_RING_BASE+0x30, 0x0, 0},
+{W, 1, "", BLT_RING_BASE+0x38, 0x44000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 3, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLT_RING_BASE+0x34, 0x0, 0},
+{W, 1, "", BLT_RING_BASE+0x3c, 0x1f001, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLT_RING_BASE+0x3c, 0x1f001, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 3, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLT_RING_BASE+0x38, 0x44000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLT_RING_BASE+0x34, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 3, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLT_RING_BASE+0x34, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLT_RING_BASE+0x30, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 4, "", 0x130090, 0x0, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", 0x4090, 0x140, 0},
+{W, 1, "", 0x4090, 0x558, 0},
+{W, 1, "", GFX_MODE, 0x2000200, 0},
+{W, 1, "", 0x2220, 0xffffffff, 0},
+{W, 1, "", 0x2228, 0x7fe00000, 0},
+{W, 1, "", 0x12220, 0xffffffff, 0},
+{W, 1, "", 0x12228, 0x7fe00000, 0},
+{W, 1, "", 0x22220, 0xffffffff, 0},
+{W, 1, "", 0x22228, 0x7fe00000, 0},
+{R, 1, "", ILK_DPFC_CONTROL, 0x0, 0},
+{W, 1, "", ILK_DPFC_CB_BASE, 0x0, 0},
+{W, 1, "", HWSTAM, 0xeffe, 0},
+{W, 1, "", DEIMR, 0xffffffff, 0},
+{W, 1, "", DEIER, 0x0, 0},
+{R, 1, "", DEIER, 0x0, 0},
+{W, 1, "", GTIMR, 0xffffffff, 0},
+{W, 1, "", GTIER, 0x0, 0},
+{R, 1, "", GTIER, 0x0, 0},
+{W, 1, "", SDEIMR, 0xffffffff, 0},
+{W, 1, "", SDEIER, 0x0, 0},
+{R, 1, "", SDEIER, 0x0, 0},
+{R, 1, "", DEIIR, 0x4000008, 0},
+{W, 1, "", DEIIR, 0x4000008, 0},
+{W, 1, "", DEIMR, 0x73dbffff, 0},
+{W, 1, "", DEIER, 0x8c248080, 0},
+{R, 1, "", DEIER, 0x8c248080, 0},
+{W, 1, "", DEIER, 0xc248080, 0},
+{R, 1, "", DEIER, 0xc248080, 0},
+{R, 1, "", DEIIR, 0x8, 0},
+{R, 1, "", GTIIR, 0x0, 0},
+{R, 1, "", SDEIIR, 0x0, 0},
+{R, 1, "", GEN6_PMIIR, 0x40, 0},
+{W, 1, "", GEN6_PMISR+0x4, 0x40, 0},
+{R, 1, "", GEN6_PMISR+0x4, 0x40, 0},
+{W, 1, "", SDEIIR, 0x0, 0},
+{W, 1, "", GTIIR, 0x0, 0},
+{R, 1, "", GEN6_PMISR+0x4, 0x40, 0},
+{W, 1, "", DEIIR, 0x8, 0},
+{W, 1, "", GEN6_PMIIR, 0x40, 0},
+{W, 1, "", GEN6_PMISR+0x4, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", 0xa18c, 0x1, 0},
+{W, 1, "", DEIER, 0x8c248080, 0},
+{R, 2, "", DEIER, 0x8c248080, 0},
+{R, 1, "", GTIIR, 0x0, 0},
+{W, 1, "", GTIIR, 0x0, 0},
+{R, 1, "", 0xa18c, 0x0, 0},
+{R, 2, "", 0x130090, 0x0, 0},
+{W, 1, "", GTIMR, 0xffffffff, 0},
+{W, 1, "", GTIER, 0x401001, 0},
+{R, 1, "", GTIER, 0x401001, 0},
+{R, 1, "", SDEIIR, 0x0, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{W, 1, "", SDEIIR, 0x0, 0},
+{W, 1, "", SDEIMR, 0xff17ffff, 0},
+{W, 1, "", SDEIER, 0xe80000, 0},
+{R, 1, "", 0x130090, 0x0, 0},
+{R, 1, "", SDEIER, 0xe80000, 0},
+{R, 1, "", 0x130090, 0x1, 0},
+{R, 1, "", 0x13805c, 0x40000000, 0},
+{R, 1, "", SDEISR+0x30, 0x10, 0},
+{W, 1, "", SDEISR+0x30, 0x101010, 0},
+{R, 1, "", _PALETTE_A+0x14, 0x12060000, 0},
+{W, 1, "", _PALETTE_A+0x14, 0x12000000, 0},
+{W, 1, "", 0xa18c, 0x0, 0},
+{R, 1, "", 0x120000, 0x0, 0},
+{R, 1, "", BLC_PWM_CPU_CTL, 0x0, 0},
+{W, 1, "", BLC_PWM_CPU_CTL, 0x0, 0},
+{W, 1, "", _PALETTE_A+0x8, 0xe000000, 0},
+{R, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{W, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{R, 1, "", PCH_PP_STATUS, 0x8000001, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{W, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40c00, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 2, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", _DSPACNTR, 0x14004000, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{R, 1, "", _DSPBCNTR, 0x4000, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf50000, 0},
+{R, 69, "", PCH_ADPA, 0xf50000, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40000, 0},
+{R, 2, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GMBUS0, 0x0, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x7, 0},
+{R, 2, "", PCH_GPIOE, 0x1002, 0},
+{W, 1, "", PCH_GPIOE, 0x700, 0},
+{R, 2, "", PCH_GPIOE, 0x202, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{W, 1, "", PCH_GPIOE, 0x0, 0},
+{R, 2, "", PCH_GPIOE, 0x210, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x100, 0},
+{R, 2, "", PCH_GPIOE, 0x1010, 0},
+{W, 1, "", PCH_GPIOE, 0x1, 0},
+{R, 1, "", PCH_GPIOE, 0x1010, 0},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_DATA1, 0x9000000e, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0xd245003f, 0},
+{R, 5, "", PCH_DPB_AUX_CH_CTL, 0x8145003f, 100},
+{R, 1, "", PCH_DPB_AUX_CH_CTL, 0x5145003f, 0},
+{W, 1, "", PCH_DPB_AUX_CH_CTL, 0x5345003f, 0},
+{M, 1, "fbcon inteldrmfb (fb0) is primary device", },
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40c00, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 2, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{R, 2, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{W, 1, "", _PIPEACONF, 0x50, 0},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", _PCH_FPA0, 0x10c08, 0},
+{W, 1, "", _PCH_DPLL_A, 0x8040004, 0},
+{R, 1, "", _PCH_DPLL_A, 0x8040004, 150},
+{R, 1, "", PCH_LVDS, 0x300302, 0},
+{W, 1, "", PCH_LVDS, 0x80300302, 0},
+{W, 1, "", _TRANSA_DATA_M1, 0x0, 0},
+{W, 1, "", _TRANSA_DATA_N1, 0x0, 0},
+{W, 1, "", _TRANSA_DP_LINK_M1, 0x0, 0},
+{W, 1, "", _TRANSA_DP_LINK_N1, 0x0, 0},
+{W, 1, "", _PCH_DPLL_A, 0x8040004, 0},
+{R, 1, "", _PCH_DPLL_A, 0x8040004, 150},
+{W, 1, "", _PCH_DPLL_A, 0x8040004, 0},
+{W, 1, "", _PCH_FPA1, 0x10c08, 0},
+{W, 1, "", _VSYNCSHIFT_A, 0x0, 0},
+{W, 1, "", _HTOTAL_A, 0x6450555, 0},
+{W, 1, "", _HBLANK_A, 0x6450555, 0},
+{W, 1, "", _HSYNC_A, 0x5a50585, 0},
+{W, 1, "", _VTOTAL_A, 0x31502ff, 0},
+{W, 1, "", _VBLANK_A, 0x31502ff, 0},
+{W, 1, "", _VSYNC_A, 0x3060301, 0},
+{W, 1, "", _PIPEASRC, 0x55502ff, 0},
+{W, 1, "", _PIPEA_DATA_M1, 0x7e14e614, 0},
+{W, 1, "", _PIPEA_DATA_N1, 0x20f580, 0},
+{W, 1, "", _PIPEA_LINK_M1, 0x1293a, 0},
+{W, 1, "", _PIPEA_LINK_N1, 0x41eb0, 0},
+{W, 1, "", _PIPEACONF, 0x50, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{R, 1, "", _PIPEASTAT, 0x0, 0},
+{W, 1, "", _PIPEASTAT, 0x2, 0},
+{R, 440, "", _PIPEASTAT, 0x0, 0},
+{W, 1, "", _DSPACNTR, 0x40000000, 0},
+{R, 2, "", _DSPACNTR, 0x40000000, 0},
+{W, 1, "", _DSPACNTR, 0x58004000, 0},
+{W, 1, "", _DSPASTRIDE, 0x1580, 0},
+{W, 1, "", _DSPASIZE+0xc, 0x64000, 0},
+{W, 1, "", _DSPACNTR+0x24, 0x0, 0},
+{W, 1, "", _DSPAADDR, 0x0, 0},
+{R, 1, "", _DSPACNTR, 0x58004000, 0},
+{R, 1, "", ILK_DPFC_CONTROL, 0x0, 0},
+{R, 1, "", 0x145d10, 0x16040307, 0},
+{R, 1, "", WM0_PIPEA_ILK, 0x783818, 0},
+{W, 1, "", WM0_PIPEA_ILK, 0x63806, 0},
+{W, 1, "", WM3_LP_ILK, 0x0, 0},
+{W, 1, "", WM2_LP_ILK, 0x0, 0},
+{W, 1, "", WM1_LP_ILK, 0x0, 0},
+{R, 2, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM1_LP_ILK, 0x83300a06, 0},
+{R, 2, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM2_LP_ILK, 0x84300c06, 0},
+{R, 2, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM3_LP_ILK, 0x96303706, 0},
+{R, 1, "", 0x145d10, 0x16040307, 0},
+{R, 1, "", WM0_PIPEA_ILK, 0x63806, 0},
+{W, 1, "", WM0_PIPEA_ILK, 0x63806, 0},
+{W, 1, "", WM3_LP_ILK, 0x0, 0},
+{W, 1, "", WM2_LP_ILK, 0x0, 0},
+{W, 1, "", WM1_LP_ILK, 0x0, 0},
+{R, 2, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM1_LP_ILK, 0x83300a06, 0},
+{R, 2, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM2_LP_ILK, 0x84300c06, 0},
+{R, 2, "", 0x145d10, 0x16040307, 0},
+{W, 1, "", WM3_LP_ILK, 0x96303706, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{R, 1, "", _PIPEA_DATA_M1, 0x7e14e614, 0},
+{W, 1, "", _FDI_RXA_TUSIZE1, 0x7e000000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x20040, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x22040, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22040, 200},
+{R, 1, "", _FDI_RXA_CTL, 0x22040, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x22050, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22050, 200},
+{R, 1, "", _FDI_TXA_CTL, 0x40000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x44000, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x44000, 100},
+{W, 1, "", _LGC_PALETTE_A, 0x0, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4, 0x10101, 0},
+{W, 1, "", _LGC_PALETTE_A+0x8, 0x20202, 0},
+{W, 1, "", _LGC_PALETTE_A+0xc, 0x30303, 0},
+{W, 1, "", _LGC_PALETTE_A+0x10, 0x40404, 0},
+{W, 1, "", _LGC_PALETTE_A+0x14, 0x50505, 0},
+{W, 1, "", _LGC_PALETTE_A+0x18, 0x60606, 0},
+{W, 1, "", _LGC_PALETTE_A+0x1c, 0x70707, 0},
+{W, 1, "", _LGC_PALETTE_A+0x20, 0x80808, 0},
+{W, 1, "", _LGC_PALETTE_A+0x24, 0x90909, 0},
+{W, 1, "", _LGC_PALETTE_A+0x28, 0xa0a0a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x2c, 0xb0b0b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x30, 0xc0c0c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x34, 0xd0d0d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x38, 0xe0e0e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x3c, 0xf0f0f, 0},
+{W, 1, "", _LGC_PALETTE_A+0x40, 0x101010, 0},
+{W, 1, "", _LGC_PALETTE_A+0x44, 0x111111, 0},
+{W, 1, "", _LGC_PALETTE_A+0x48, 0x121212, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4c, 0x131313, 0},
+{W, 1, "", _LGC_PALETTE_A+0x50, 0x141414, 0},
+{W, 1, "", _LGC_PALETTE_A+0x54, 0x151515, 0},
+{W, 1, "", _LGC_PALETTE_A+0x58, 0x161616, 0},
+{W, 1, "", _LGC_PALETTE_A+0x5c, 0x171717, 0},
+{W, 1, "", _LGC_PALETTE_A+0x60, 0x181818, 0},
+{W, 1, "", _LGC_PALETTE_A+0x64, 0x191919, 0},
+{W, 1, "", _LGC_PALETTE_A+0x68, 0x1a1a1a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x6c, 0x1b1b1b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x70, 0x1c1c1c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x74, 0x1d1d1d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x78, 0x1e1e1e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x7c, 0x1f1f1f, 0},
+{W, 1, "", 0x4a080, 0x202020, 0},
+{W, 1, "", 0x4a084, 0x212121, 0},
+{W, 1, "", 0x4a088, 0x222222, 0},
+{W, 1, "", 0x4a08c, 0x232323, 0},
+{W, 1, "", 0x4a090, 0x242424, 0},
+{W, 1, "", 0x4a094, 0x252525, 0},
+{W, 1, "", 0x4a098, 0x262626, 0},
+{W, 1, "", 0x4a09c, 0x272727, 0},
+{W, 1, "", 0x4a0a0, 0x282828, 0},
+{W, 1, "", 0x4a0a4, 0x292929, 0},
+{W, 1, "", 0x4a0a8, 0x2a2a2a, 0},
+{W, 1, "", 0x4a0ac, 0x2b2b2b, 0},
+{W, 1, "", 0x4a0b0, 0x2c2c2c, 0},
+{W, 1, "", 0x4a0b4, 0x2d2d2d, 0},
+{W, 1, "", 0x4a0b8, 0x2e2e2e, 0},
+{W, 1, "", 0x4a0bc, 0x2f2f2f, 0},
+{W, 1, "", 0x4a0c0, 0x303030, 0},
+{W, 1, "", 0x4a0c4, 0x313131, 0},
+{W, 1, "", 0x4a0c8, 0x323232, 0},
+{W, 1, "", 0x4a0cc, 0x333333, 0},
+{W, 1, "", 0x4a0d0, 0x343434, 0},
+{W, 1, "", 0x4a0d4, 0x353535, 0},
+{W, 1, "", 0x4a0d8, 0x363636, 0},
+{W, 1, "", 0x4a0dc, 0x373737, 0},
+{W, 1, "", 0x4a0e0, 0x383838, 0},
+{W, 1, "", 0x4a0e4, 0x393939, 0},
+{W, 1, "", 0x4a0e8, 0x3a3a3a, 0},
+{W, 1, "", 0x4a0ec, 0x3b3b3b, 0},
+{W, 1, "", 0x4a0f0, 0x3c3c3c, 0},
+{W, 1, "", 0x4a0f4, 0x3d3d3d, 0},
+{W, 1, "", 0x4a0f8, 0x3e3e3e, 0},
+{W, 1, "", 0x4a0fc, 0x3f3f3f, 0},
+{W, 1, "", 0x4a100, 0x404040, 0},
+{W, 1, "", 0x4a104, 0x414141, 0},
+{W, 1, "", 0x4a108, 0x424242, 0},
+{W, 1, "", 0x4a10c, 0x434343, 0},
+{W, 1, "", 0x4a110, 0x444444, 0},
+{W, 1, "", 0x4a114, 0x454545, 0},
+{W, 1, "", 0x4a118, 0x464646, 0},
+{W, 1, "", 0x4a11c, 0x474747, 0},
+{W, 1, "", 0x4a120, 0x484848, 0},
+{W, 1, "", 0x4a124, 0x494949, 0},
+{W, 1, "", 0x4a128, 0x4a4a4a, 0},
+{W, 1, "", 0x4a12c, 0x4b4b4b, 0},
+{W, 1, "", 0x4a130, 0x4c4c4c, 0},
+{W, 1, "", 0x4a134, 0x4d4d4d, 0},
+{W, 1, "", 0x4a138, 0x4e4e4e, 0},
+{W, 1, "", 0x4a13c, 0x4f4f4f, 0},
+{W, 1, "", 0x4a140, 0x505050, 0},
+{W, 1, "", 0x4a144, 0x515151, 0},
+{W, 1, "", 0x4a148, 0x525252, 0},
+{W, 1, "", 0x4a14c, 0x535353, 0},
+{W, 1, "", 0x4a150, 0x545454, 0},
+{W, 1, "", 0x4a154, 0x555555, 0},
+{W, 1, "", 0x4a158, 0x565656, 0},
+{W, 1, "", 0x4a15c, 0x575757, 0},
+{W, 1, "", 0x4a160, 0x585858, 0},
+{W, 1, "", 0x4a164, 0x595959, 0},
+{W, 1, "", 0x4a168, 0x5a5a5a, 0},
+{W, 1, "", 0x4a16c, 0x5b5b5b, 0},
+{W, 1, "", 0x4a170, 0x5c5c5c, 0},
+{W, 1, "", 0x4a174, 0x5d5d5d, 0},
+{W, 1, "", 0x4a178, 0x5e5e5e, 0},
+{W, 1, "", 0x4a17c, 0x5f5f5f, 0},
+{W, 1, "", 0x4a180, 0x606060, 0},
+{W, 1, "", 0x4a184, 0x616161, 0},
+{W, 1, "", 0x4a188, 0x626262, 0},
+{W, 1, "", 0x4a18c, 0x636363, 0},
+{W, 1, "", 0x4a190, 0x646464, 0},
+{W, 1, "", 0x4a194, 0x656565, 0},
+{W, 1, "", 0x4a198, 0x666666, 0},
+{W, 1, "", 0x4a19c, 0x676767, 0},
+{W, 1, "", 0x4a1a0, 0x686868, 0},
+{W, 1, "", 0x4a1a4, 0x696969, 0},
+{W, 1, "", 0x4a1a8, 0x6a6a6a, 0},
+{W, 1, "", 0x4a1ac, 0x6b6b6b, 0},
+{W, 1, "", 0x4a1b0, 0x6c6c6c, 0},
+{W, 1, "", 0x4a1b4, 0x6d6d6d, 0},
+{W, 1, "", 0x4a1b8, 0x6e6e6e, 0},
+{W, 1, "", 0x4a1bc, 0x6f6f6f, 0},
+{W, 1, "", 0x4a1c0, 0x707070, 0},
+{W, 1, "", 0x4a1c4, 0x717171, 0},
+{W, 1, "", 0x4a1c8, 0x727272, 0},
+{W, 1, "", 0x4a1cc, 0x737373, 0},
+{W, 1, "", 0x4a1d0, 0x747474, 0},
+{W, 1, "", 0x4a1d4, 0x757575, 0},
+{W, 1, "", 0x4a1d8, 0x767676, 0},
+{W, 1, "", 0x4a1dc, 0x777777, 0},
+{W, 1, "", 0x4a1e0, 0x787878, 0},
+{W, 1, "", 0x4a1e4, 0x797979, 0},
+{W, 1, "", 0x4a1e8, 0x7a7a7a, 0},
+{W, 1, "", 0x4a1ec, 0x7b7b7b, 0},
+{W, 1, "", 0x4a1f0, 0x7c7c7c, 0},
+{W, 1, "", 0x4a1f4, 0x7d7d7d, 0},
+{W, 1, "", 0x4a1f8, 0x7e7e7e, 0},
+{W, 1, "", 0x4a1fc, 0x7f7f7f, 0},
+{W, 1, "", 0x4a200, 0x808080, 0},
+{W, 1, "", 0x4a204, 0x818181, 0},
+{W, 1, "", 0x4a208, 0x828282, 0},
+{W, 1, "", 0x4a20c, 0x838383, 0},
+{W, 1, "", 0x4a210, 0x848484, 0},
+{W, 1, "", 0x4a214, 0x858585, 0},
+{W, 1, "", 0x4a218, 0x868686, 0},
+{W, 1, "", 0x4a21c, 0x878787, 0},
+{W, 1, "", 0x4a220, 0x888888, 0},
+{W, 1, "", 0x4a224, 0x898989, 0},
+{W, 1, "", 0x4a228, 0x8a8a8a, 0},
+{W, 1, "", 0x4a22c, 0x8b8b8b, 0},
+{W, 1, "", 0x4a230, 0x8c8c8c, 0},
+{W, 1, "", 0x4a234, 0x8d8d8d, 0},
+{W, 1, "", 0x4a238, 0x8e8e8e, 0},
+{W, 1, "", 0x4a23c, 0x8f8f8f, 0},
+{W, 1, "", 0x4a240, 0x909090, 0},
+{W, 1, "", 0x4a244, 0x919191, 0},
+{W, 1, "", 0x4a248, 0x929292, 0},
+{W, 1, "", 0x4a24c, 0x939393, 0},
+{W, 1, "", 0x4a250, 0x949494, 0},
+{W, 1, "", 0x4a254, 0x959595, 0},
+{W, 1, "", 0x4a258, 0x969696, 0},
+{W, 1, "", 0x4a25c, 0x979797, 0},
+{W, 1, "", 0x4a260, 0x989898, 0},
+{W, 1, "", 0x4a264, 0x999999, 0},
+{W, 1, "", 0x4a268, 0x9a9a9a, 0},
+{W, 1, "", 0x4a26c, 0x9b9b9b, 0},
+{W, 1, "", 0x4a270, 0x9c9c9c, 0},
+{W, 1, "", 0x4a274, 0x9d9d9d, 0},
+{W, 1, "", 0x4a278, 0x9e9e9e, 0},
+{W, 1, "", 0x4a27c, 0x9f9f9f, 0},
+{W, 1, "", 0x4a280, 0xa0a0a0, 0},
+{W, 1, "", 0x4a284, 0xa1a1a1, 0},
+{W, 1, "", 0x4a288, 0xa2a2a2, 0},
+{W, 1, "", 0x4a28c, 0xa3a3a3, 0},
+{W, 1, "", 0x4a290, 0xa4a4a4, 0},
+{W, 1, "", 0x4a294, 0xa5a5a5, 0},
+{W, 1, "", 0x4a298, 0xa6a6a6, 0},
+{W, 1, "", 0x4a29c, 0xa7a7a7, 0},
+{W, 1, "", 0x4a2a0, 0xa8a8a8, 0},
+{W, 1, "", 0x4a2a4, 0xa9a9a9, 0},
+{W, 1, "", 0x4a2a8, 0xaaaaaa, 0},
+{W, 1, "", 0x4a2ac, 0xababab, 0},
+{W, 1, "", 0x4a2b0, 0xacacac, 0},
+{W, 1, "", 0x4a2b4, 0xadadad, 0},
+{W, 1, "", 0x4a2b8, 0xaeaeae, 0},
+{W, 1, "", 0x4a2bc, 0xafafaf, 0},
+{W, 1, "", 0x4a2c0, 0xb0b0b0, 0},
+{W, 1, "", 0x4a2c4, 0xb1b1b1, 0},
+{W, 1, "", 0x4a2c8, 0xb2b2b2, 0},
+{W, 1, "", 0x4a2cc, 0xb3b3b3, 0},
+{W, 1, "", 0x4a2d0, 0xb4b4b4, 0},
+{W, 1, "", 0x4a2d4, 0xb5b5b5, 0},
+{W, 1, "", 0x4a2d8, 0xb6b6b6, 0},
+{W, 1, "", 0x4a2dc, 0xb7b7b7, 0},
+{W, 1, "", 0x4a2e0, 0xb8b8b8, 0},
+{W, 1, "", 0x4a2e4, 0xb9b9b9, 0},
+{W, 1, "", 0x4a2e8, 0xbababa, 0},
+{W, 1, "", 0x4a2ec, 0xbbbbbb, 0},
+{W, 1, "", 0x4a2f0, 0xbcbcbc, 0},
+{W, 1, "", 0x4a2f4, 0xbdbdbd, 0},
+{W, 1, "", 0x4a2f8, 0xbebebe, 0},
+{W, 1, "", 0x4a2fc, 0xbfbfbf, 0},
+{W, 1, "", 0x4a300, 0xc0c0c0, 0},
+{W, 1, "", 0x4a304, 0xc1c1c1, 0},
+{W, 1, "", 0x4a308, 0xc2c2c2, 0},
+{W, 1, "", 0x4a30c, 0xc3c3c3, 0},
+{W, 1, "", 0x4a310, 0xc4c4c4, 0},
+{W, 1, "", 0x4a314, 0xc5c5c5, 0},
+{W, 1, "", 0x4a318, 0xc6c6c6, 0},
+{W, 1, "", 0x4a31c, 0xc7c7c7, 0},
+{W, 1, "", 0x4a320, 0xc8c8c8, 0},
+{W, 1, "", 0x4a324, 0xc9c9c9, 0},
+{W, 1, "", 0x4a328, 0xcacaca, 0},
+{W, 1, "", 0x4a32c, 0xcbcbcb, 0},
+{W, 1, "", 0x4a330, 0xcccccc, 0},
+{W, 1, "", 0x4a334, 0xcdcdcd, 0},
+{W, 1, "", 0x4a338, 0xcecece, 0},
+{W, 1, "", 0x4a33c, 0xcfcfcf, 0},
+{W, 1, "", 0x4a340, 0xd0d0d0, 0},
+{W, 1, "", 0x4a344, 0xd1d1d1, 0},
+{W, 1, "", 0x4a348, 0xd2d2d2, 0},
+{W, 1, "", 0x4a34c, 0xd3d3d3, 0},
+{W, 1, "", 0x4a350, 0xd4d4d4, 0},
+{W, 1, "", 0x4a354, 0xd5d5d5, 0},
+{W, 1, "", 0x4a358, 0xd6d6d6, 0},
+{W, 1, "", 0x4a35c, 0xd7d7d7, 0},
+{W, 1, "", 0x4a360, 0xd8d8d8, 0},
+{W, 1, "", 0x4a364, 0xd9d9d9, 0},
+{W, 1, "", 0x4a368, 0xdadada, 0},
+{W, 1, "", 0x4a36c, 0xdbdbdb, 0},
+{W, 1, "", 0x4a370, 0xdcdcdc, 0},
+{W, 1, "", 0x4a374, 0xdddddd, 0},
+{W, 1, "", 0x4a378, 0xdedede, 0},
+{W, 1, "", 0x4a37c, 0xdfdfdf, 0},
+{W, 1, "", 0x4a380, 0xe0e0e0, 0},
+{W, 1, "", 0x4a384, 0xe1e1e1, 0},
+{W, 1, "", 0x4a388, 0xe2e2e2, 0},
+{W, 1, "", 0x4a38c, 0xe3e3e3, 0},
+{W, 1, "", 0x4a390, 0xe4e4e4, 0},
+{W, 1, "", 0x4a394, 0xe5e5e5, 0},
+{W, 1, "", 0x4a398, 0xe6e6e6, 0},
+{W, 1, "", 0x4a39c, 0xe7e7e7, 0},
+{W, 1, "", 0x4a3a0, 0xe8e8e8, 0},
+{W, 1, "", 0x4a3a4, 0xe9e9e9, 0},
+{W, 1, "", 0x4a3a8, 0xeaeaea, 0},
+{W, 1, "", 0x4a3ac, 0xebebeb, 0},
+{W, 1, "", 0x4a3b0, 0xececec, 0},
+{W, 1, "", 0x4a3b4, 0xededed, 0},
+{W, 1, "", 0x4a3b8, 0xeeeeee, 0},
+{W, 1, "", 0x4a3bc, 0xefefef, 0},
+{W, 1, "", 0x4a3c0, 0xf0f0f0, 0},
+{W, 1, "", 0x4a3c4, 0xf1f1f1, 0},
+{W, 1, "", 0x4a3c8, 0xf2f2f2, 0},
+{W, 1, "", 0x4a3cc, 0xf3f3f3, 0},
+{W, 1, "", 0x4a3d0, 0xf4f4f4, 0},
+{W, 1, "", 0x4a3d4, 0xf5f5f5, 0},
+{W, 1, "", 0x4a3d8, 0xf6f6f6, 0},
+{W, 1, "", 0x4a3dc, 0xf7f7f7, 0},
+{W, 1, "", 0x4a3e0, 0xf8f8f8, 0},
+{W, 1, "", 0x4a3e4, 0xf9f9f9, 0},
+{W, 1, "", 0x4a3e8, 0xfafafa, 0},
+{W, 1, "", 0x4a3ec, 0xfbfbfb, 0},
+{W, 1, "", 0x4a3f0, 0xfcfcfc, 0},
+{W, 1, "", 0x4a3f4, 0xfdfdfd, 0},
+{W, 1, "", 0x4a3f8, 0xfefefe, 0},
+{W, 1, "", 0x4a3fc, 0xffffff, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22050, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x44000, 0},
+{R, 1, "", _PIPEACONF, 0x50, 0},
+{W, 1, "", _PIPEACONF, 0x80000050, 0},
+{R, 1, "", _PIPEASTAT, 0x0, 0},
+{W, 1, "", _PIPEASTAT, 0x2, 0},
+{R, 441, "", _PIPEASTAT, 0x0, 0},
+{R, 1, "", _PIPEACONF, 0x80000050, 0},
+{R, 1, "", _DSPACNTR, 0x58004000, 0},
+{W, 1, "", _DSPACNTR, 0xd8004000, 0},
+{R, 1, "", _DSPAADDR, 0x0, 0},
+{W, 1, "", _DSPAADDR, 0x0, 0},
+{R, 1, "", _DSPASIZE+0xc, 0x64000, 0},
+{W, 1, "", _DSPASIZE+0xc, 0x64000, 0},
+{R, 1, "", _PIPEASTAT, 0x0, 0},
+{W, 1, "", _PIPEASTAT, 0x2, 0},
+{R, 441, "", _PIPEASTAT, 0x0, 0},
+{R, 1, "", _FDI_RXA_IMR, 0x8ff, 0},
+{W, 1, "", _FDI_RXA_IMR, 0x8ff, 0},
+{R, 1, "", _FDI_RXA_IMR, 0x8ff, 150},
+{R, 1, "", _FDI_TXA_CTL, 0x44000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x80044000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x22050, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x80022050, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022050, 150},
+{R, 1, "", SOUTH_CHICKEN1, 0x0, 0},
+{W, 1, "", SOUTH_CHICKEN1, 0x80000, 0},
+{W, 1, "", SOUTH_CHICKEN1, 0xc0000, 0},
+{R, 1, "", SOUTH_CHICKEN1, 0xc0000, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x80044000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x80044000, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x80044000, 500},
+{R, 1, "", _FDI_RXA_IIR, 0x700, 0},
+{W, 1, "", _FDI_RXA_IIR, 0x700, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x80044000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x90044000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022050, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x80022150, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022150, 150},
+{R, 1, "", _FDI_TXA_CTL, 0x90044000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0x90044000, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x90044000, 500},
+{R, 1, "", _FDI_RXA_IIR, 0x600, 0},
+{W, 1, "", _FDI_RXA_IIR, 0x600, 0},
+{R, 1, "", PCH_DREF_CONTROL, 0x1400, 0},
+{R, 1, "", _PCH_DPLL_A, 0x8040004, 0},
+{W, 1, "", _PCH_DPLL_A, 0x88040004, 0},
+{R, 1, "", _PCH_DPLL_A, 0x88040004, 200},
+{R, 1, "", PCH_DPLL_SEL, 0x0, 0},
+{W, 1, "", PCH_DPLL_SEL, 0x8, 0},
+{R, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{R, 1, "", _HTOTAL_A, 0x6450555, 0},
+{W, 1, "", _TRANS_HTOTAL_A, 0x6450555, 0},
+{R, 1, "", _HBLANK_A, 0x6450555, 0},
+{W, 1, "", _TRANS_HBLANK_A, 0x6450555, 0},
+{R, 1, "", _HSYNC_A, 0x5a50585, 0},
+{W, 1, "", _TRANS_HSYNC_A, 0x5a50585, 0},
+{R, 1, "", _VTOTAL_A, 0x31502ff, 0},
+{W, 1, "", _TRANS_VTOTAL_A, 0x31502ff, 0},
+{R, 1, "", _VBLANK_A, 0x31502ff, 0},
+{W, 1, "", _TRANS_VBLANK_A, 0x31502ff, 0},
+{R, 1, "", _VSYNC_A, 0x3060301, 0},
+{W, 1, "", _TRANS_VSYNC_A, 0x3060301, 0},
+{R, 1, "", _VSYNCSHIFT_A, 0x0, 0},
+{W, 1, "", _TRANS_VSYNCSHIFT_A, 0x0, 0},
+{R, 1, "", _FDI_TXA_CTL, 0x90044000, 0},
+{W, 1, "", _FDI_TXA_CTL, 0xb0044000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022150, 0},
+{W, 1, "", _FDI_RXA_CTL, 0x80022350, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022350, 0},
+{M, 1, "1000", },
+{R, 1, "", PCH_DPLL_SEL, 0x8, 0},
+{R, 1, "", _PCH_DPLL_A, 0x88040004, 0},
+{R, 1, "", _FDI_TXA_CTL, 0xb0044000, 0},
+{R, 1, "", _FDI_RXA_CTL, 0x80022350, 0},
+{R, 1, "", _TRANSACONF, 0x0, 0},
+{R, 1, "", _PIPEACONF, 0xc0000050, 0},
+{W, 1, "", _TRANSACONF, 0x80000000, 0},
+{R, 1, "", _TRANSACONF, 0xc0000000, 0},
+{R, 1, "", ILK_DPFC_CONTROL, 0x0, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{W, 1, "", PCH_LVDS, 0x80300302, 0},
+{R, 1, "", PCH_PP_CONTROL, 0xabcd0002, 0},
+{W, 1, "", PCH_PP_CONTROL, 0xabcd0003, 0},
+{R, 1, "", PCH_LVDS, 0x80300302, 0},
+{R, 142, "", PCH_PP_STATUS, 0x4800000b, 0},
+{R, 1, "", DEIER, 0x8c248080, 0},
+{W, 1, "", DEIER, 0xc248080, 0},
+{R, 1, "", DEIER, 0xc248080, 0},
+{R, 1, "", DEIIR, 0x4000000, 0},
+{R, 1, "", GTIIR, 0x0, 0},
+{R, 1, "", SDEIIR, 0x0, 0},
+{R, 1, "", GEN6_PMIIR, 0x0, 0},
+{W, 1, "", SDEIIR, 0x0, 0},
+{W, 1, "", GTIIR, 0x0, 0},
+{W, 1, "", DEIIR, 0x4000000, 0},
+{W, 1, "", GEN6_PMIIR, 0x0, 0},
+{W, 1, "", DEIER, 0x8c248080, 0},
+{R, 1, "", DEIER, 0x8c248080, 0},
+{R, 1322, "", PCH_PP_STATUS, 0x4800000b, 0},
+{R, 1, "", PCH_PP_STATUS, 0x4000000a, 0},
+{R, 1, "", PCH_PP_STATUS, 0xd000000a, 0},
+{R, 1, "", BLC_PWM_CPU_CTL, 0x0, 0},
+{W, 1, "", BLC_PWM_CPU_CTL, 0x1312, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40c00, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 2, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", _DSPBCNTR, 0x4000, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{R, 1, "", PCH_ADPA, 0xf40000, 0},
+{W, 1, "", PCH_ADPA, 0xf40c00, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{W, 1, "", HDMIB, 0x1c, 0},
+{R, 1, "", HDMIB, 0x1c, 0},
+{R, 2, "", PCH_DP_B, 0x4, 0},
+{R, 1, "", _DSPBCNTR, 0x4000, 0},
+{R, 1, "", _PIPEBCONF, 0x0, 0},
+{W, 1, "", _LGC_PALETTE_A, 0x0, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4, 0x10101, 0},
+{W, 1, "", _LGC_PALETTE_A+0x8, 0x20202, 0},
+{W, 1, "", _LGC_PALETTE_A+0xc, 0x30303, 0},
+{W, 1, "", _LGC_PALETTE_A+0x10, 0x40404, 0},
+{W, 1, "", _LGC_PALETTE_A+0x14, 0x50505, 0},
+{W, 1, "", _LGC_PALETTE_A+0x18, 0x60606, 0},
+{W, 1, "", _LGC_PALETTE_A+0x1c, 0x70707, 0},
+{W, 1, "", _LGC_PALETTE_A+0x20, 0x80808, 0},
+{W, 1, "", _LGC_PALETTE_A+0x24, 0x90909, 0},
+{W, 1, "", _LGC_PALETTE_A+0x28, 0xa0a0a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x2c, 0xb0b0b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x30, 0xc0c0c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x34, 0xd0d0d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x38, 0xe0e0e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x3c, 0xf0f0f, 0},
+{W, 1, "", _LGC_PALETTE_A+0x40, 0x101010, 0},
+{W, 1, "", _LGC_PALETTE_A+0x44, 0x111111, 0},
+{W, 1, "", _LGC_PALETTE_A+0x48, 0x121212, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4c, 0x131313, 0},
+{W, 1, "", _LGC_PALETTE_A+0x50, 0x141414, 0},
+{W, 1, "", _LGC_PALETTE_A+0x54, 0x151515, 0},
+{W, 1, "", _LGC_PALETTE_A+0x58, 0x161616, 0},
+{W, 1, "", _LGC_PALETTE_A+0x5c, 0x171717, 0},
+{W, 1, "", _LGC_PALETTE_A+0x60, 0x181818, 0},
+{W, 1, "", _LGC_PALETTE_A+0x64, 0x191919, 0},
+{W, 1, "", _LGC_PALETTE_A+0x68, 0x1a1a1a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x6c, 0x1b1b1b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x70, 0x1c1c1c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x74, 0x1d1d1d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x78, 0x1e1e1e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x7c, 0x1f1f1f, 0},
+{W, 1, "", 0x4a080, 0x202020, 0},
+{W, 1, "", 0x4a084, 0x212121, 0},
+{W, 1, "", 0x4a088, 0x222222, 0},
+{W, 1, "", 0x4a08c, 0x232323, 0},
+{W, 1, "", 0x4a090, 0x242424, 0},
+{W, 1, "", 0x4a094, 0x252525, 0},
+{W, 1, "", 0x4a098, 0x262626, 0},
+{W, 1, "", 0x4a09c, 0x272727, 0},
+{W, 1, "", 0x4a0a0, 0x282828, 0},
+{W, 1, "", 0x4a0a4, 0x292929, 0},
+{W, 1, "", 0x4a0a8, 0x2a2a2a, 0},
+{W, 1, "", 0x4a0ac, 0x2b2b2b, 0},
+{W, 1, "", 0x4a0b0, 0x2c2c2c, 0},
+{W, 1, "", 0x4a0b4, 0x2d2d2d, 0},
+{W, 1, "", 0x4a0b8, 0x2e2e2e, 0},
+{W, 1, "", 0x4a0bc, 0x2f2f2f, 0},
+{W, 1, "", 0x4a0c0, 0x303030, 0},
+{W, 1, "", 0x4a0c4, 0x313131, 0},
+{W, 1, "", 0x4a0c8, 0x323232, 0},
+{W, 1, "", 0x4a0cc, 0x333333, 0},
+{W, 1, "", 0x4a0d0, 0x343434, 0},
+{W, 1, "", 0x4a0d4, 0x353535, 0},
+{W, 1, "", 0x4a0d8, 0x363636, 0},
+{W, 1, "", 0x4a0dc, 0x373737, 0},
+{W, 1, "", 0x4a0e0, 0x383838, 0},
+{W, 1, "", 0x4a0e4, 0x393939, 0},
+{W, 1, "", 0x4a0e8, 0x3a3a3a, 0},
+{W, 1, "", 0x4a0ec, 0x3b3b3b, 0},
+{W, 1, "", 0x4a0f0, 0x3c3c3c, 0},
+{W, 1, "", 0x4a0f4, 0x3d3d3d, 0},
+{W, 1, "", 0x4a0f8, 0x3e3e3e, 0},
+{W, 1, "", 0x4a0fc, 0x3f3f3f, 0},
+{W, 1, "", 0x4a100, 0x404040, 0},
+{W, 1, "", 0x4a104, 0x414141, 0},
+{W, 1, "", 0x4a108, 0x424242, 0},
+{W, 1, "", 0x4a10c, 0x434343, 0},
+{W, 1, "", 0x4a110, 0x444444, 0},
+{W, 1, "", 0x4a114, 0x454545, 0},
+{W, 1, "", 0x4a118, 0x464646, 0},
+{W, 1, "", 0x4a11c, 0x474747, 0},
+{W, 1, "", 0x4a120, 0x484848, 0},
+{W, 1, "", 0x4a124, 0x494949, 0},
+{W, 1, "", 0x4a128, 0x4a4a4a, 0},
+{W, 1, "", 0x4a12c, 0x4b4b4b, 0},
+{W, 1, "", 0x4a130, 0x4c4c4c, 0},
+{W, 1, "", 0x4a134, 0x4d4d4d, 0},
+{W, 1, "", 0x4a138, 0x4e4e4e, 0},
+{W, 1, "", 0x4a13c, 0x4f4f4f, 0},
+{W, 1, "", 0x4a140, 0x505050, 0},
+{W, 1, "", 0x4a144, 0x515151, 0},
+{W, 1, "", 0x4a148, 0x525252, 0},
+{W, 1, "", 0x4a14c, 0x535353, 0},
+{W, 1, "", 0x4a150, 0x545454, 0},
+{W, 1, "", 0x4a154, 0x555555, 0},
+{W, 1, "", 0x4a158, 0x565656, 0},
+{W, 1, "", 0x4a15c, 0x575757, 0},
+{W, 1, "", 0x4a160, 0x585858, 0},
+{W, 1, "", 0x4a164, 0x595959, 0},
+{W, 1, "", 0x4a168, 0x5a5a5a, 0},
+{W, 1, "", 0x4a16c, 0x5b5b5b, 0},
+{W, 1, "", 0x4a170, 0x5c5c5c, 0},
+{W, 1, "", 0x4a174, 0x5d5d5d, 0},
+{W, 1, "", 0x4a178, 0x5e5e5e, 0},
+{W, 1, "", 0x4a17c, 0x5f5f5f, 0},
+{W, 1, "", 0x4a180, 0x606060, 0},
+{W, 1, "", 0x4a184, 0x616161, 0},
+{W, 1, "", 0x4a188, 0x626262, 0},
+{W, 1, "", 0x4a18c, 0x636363, 0},
+{W, 1, "", 0x4a190, 0x646464, 0},
+{W, 1, "", 0x4a194, 0x656565, 0},
+{W, 1, "", 0x4a198, 0x666666, 0},
+{W, 1, "", 0x4a19c, 0x676767, 0},
+{W, 1, "", 0x4a1a0, 0x686868, 0},
+{W, 1, "", 0x4a1a4, 0x696969, 0},
+{W, 1, "", 0x4a1a8, 0x6a6a6a, 0},
+{W, 1, "", 0x4a1ac, 0x6b6b6b, 0},
+{W, 1, "", 0x4a1b0, 0x6c6c6c, 0},
+{W, 1, "", 0x4a1b4, 0x6d6d6d, 0},
+{W, 1, "", 0x4a1b8, 0x6e6e6e, 0},
+{W, 1, "", 0x4a1bc, 0x6f6f6f, 0},
+{W, 1, "", 0x4a1c0, 0x707070, 0},
+{W, 1, "", 0x4a1c4, 0x717171, 0},
+{W, 1, "", 0x4a1c8, 0x727272, 0},
+{W, 1, "", 0x4a1cc, 0x737373, 0},
+{W, 1, "", 0x4a1d0, 0x747474, 0},
+{W, 1, "", 0x4a1d4, 0x757575, 0},
+{W, 1, "", 0x4a1d8, 0x767676, 0},
+{W, 1, "", 0x4a1dc, 0x777777, 0},
+{W, 1, "", 0x4a1e0, 0x787878, 0},
+{W, 1, "", 0x4a1e4, 0x797979, 0},
+{W, 1, "", 0x4a1e8, 0x7a7a7a, 0},
+{W, 1, "", 0x4a1ec, 0x7b7b7b, 0},
+{W, 1, "", 0x4a1f0, 0x7c7c7c, 0},
+{W, 1, "", 0x4a1f4, 0x7d7d7d, 0},
+{W, 1, "", 0x4a1f8, 0x7e7e7e, 0},
+{W, 1, "", 0x4a1fc, 0x7f7f7f, 0},
+{W, 1, "", 0x4a200, 0x808080, 0},
+{W, 1, "", 0x4a204, 0x818181, 0},
+{W, 1, "", 0x4a208, 0x828282, 0},
+{W, 1, "", 0x4a20c, 0x838383, 0},
+{W, 1, "", 0x4a210, 0x848484, 0},
+{W, 1, "", 0x4a214, 0x858585, 0},
+{W, 1, "", 0x4a218, 0x868686, 0},
+{W, 1, "", 0x4a21c, 0x878787, 0},
+{W, 1, "", 0x4a220, 0x888888, 0},
+{W, 1, "", 0x4a224, 0x898989, 0},
+{W, 1, "", 0x4a228, 0x8a8a8a, 0},
+{W, 1, "", 0x4a22c, 0x8b8b8b, 0},
+{W, 1, "", 0x4a230, 0x8c8c8c, 0},
+{W, 1, "", 0x4a234, 0x8d8d8d, 0},
+{W, 1, "", 0x4a238, 0x8e8e8e, 0},
+{W, 1, "", 0x4a23c, 0x8f8f8f, 0},
+{W, 1, "", 0x4a240, 0x909090, 0},
+{W, 1, "", 0x4a244, 0x919191, 0},
+{W, 1, "", 0x4a248, 0x929292, 0},
+{W, 1, "", 0x4a24c, 0x939393, 0},
+{W, 1, "", 0x4a250, 0x949494, 0},
+{W, 1, "", 0x4a254, 0x959595, 0},
+{W, 1, "", 0x4a258, 0x969696, 0},
+{W, 1, "", 0x4a25c, 0x979797, 0},
+{W, 1, "", 0x4a260, 0x989898, 0},
+{W, 1, "", 0x4a264, 0x999999, 0},
+{W, 1, "", 0x4a268, 0x9a9a9a, 0},
+{W, 1, "", 0x4a26c, 0x9b9b9b, 0},
+{W, 1, "", 0x4a270, 0x9c9c9c, 0},
+{W, 1, "", 0x4a274, 0x9d9d9d, 0},
+{W, 1, "", 0x4a278, 0x9e9e9e, 0},
+{W, 1, "", 0x4a27c, 0x9f9f9f, 0},
+{W, 1, "", 0x4a280, 0xa0a0a0, 0},
+{W, 1, "", 0x4a284, 0xa1a1a1, 0},
+{W, 1, "", 0x4a288, 0xa2a2a2, 0},
+{W, 1, "", 0x4a28c, 0xa3a3a3, 0},
+{W, 1, "", 0x4a290, 0xa4a4a4, 0},
+{W, 1, "", 0x4a294, 0xa5a5a5, 0},
+{W, 1, "", 0x4a298, 0xa6a6a6, 0},
+{W, 1, "", 0x4a29c, 0xa7a7a7, 0},
+{W, 1, "", 0x4a2a0, 0xa8a8a8, 0},
+{W, 1, "", 0x4a2a4, 0xa9a9a9, 0},
+{W, 1, "", 0x4a2a8, 0xaaaaaa, 0},
+{W, 1, "", 0x4a2ac, 0xababab, 0},
+{W, 1, "", 0x4a2b0, 0xacacac, 0},
+{W, 1, "", 0x4a2b4, 0xadadad, 0},
+{W, 1, "", 0x4a2b8, 0xaeaeae, 0},
+{W, 1, "", 0x4a2bc, 0xafafaf, 0},
+{W, 1, "", 0x4a2c0, 0xb0b0b0, 0},
+{W, 1, "", 0x4a2c4, 0xb1b1b1, 0},
+{W, 1, "", 0x4a2c8, 0xb2b2b2, 0},
+{W, 1, "", 0x4a2cc, 0xb3b3b3, 0},
+{W, 1, "", 0x4a2d0, 0xb4b4b4, 0},
+{W, 1, "", 0x4a2d4, 0xb5b5b5, 0},
+{W, 1, "", 0x4a2d8, 0xb6b6b6, 0},
+{W, 1, "", 0x4a2dc, 0xb7b7b7, 0},
+{W, 1, "", 0x4a2e0, 0xb8b8b8, 0},
+{W, 1, "", 0x4a2e4, 0xb9b9b9, 0},
+{W, 1, "", 0x4a2e8, 0xbababa, 0},
+{W, 1, "", 0x4a2ec, 0xbbbbbb, 0},
+{W, 1, "", 0x4a2f0, 0xbcbcbc, 0},
+{W, 1, "", 0x4a2f4, 0xbdbdbd, 0},
+{W, 1, "", 0x4a2f8, 0xbebebe, 0},
+{W, 1, "", 0x4a2fc, 0xbfbfbf, 0},
+{W, 1, "", 0x4a300, 0xc0c0c0, 0},
+{W, 1, "", 0x4a304, 0xc1c1c1, 0},
+{W, 1, "", 0x4a308, 0xc2c2c2, 0},
+{W, 1, "", 0x4a30c, 0xc3c3c3, 0},
+{W, 1, "", 0x4a310, 0xc4c4c4, 0},
+{W, 1, "", 0x4a314, 0xc5c5c5, 0},
+{W, 1, "", 0x4a318, 0xc6c6c6, 0},
+{W, 1, "", 0x4a31c, 0xc7c7c7, 0},
+{W, 1, "", 0x4a320, 0xc8c8c8, 0},
+{W, 1, "", 0x4a324, 0xc9c9c9, 0},
+{W, 1, "", 0x4a328, 0xcacaca, 0},
+{W, 1, "", 0x4a32c, 0xcbcbcb, 0},
+{W, 1, "", 0x4a330, 0xcccccc, 0},
+{W, 1, "", 0x4a334, 0xcdcdcd, 0},
+{W, 1, "", 0x4a338, 0xcecece, 0},
+{W, 1, "", 0x4a33c, 0xcfcfcf, 0},
+{W, 1, "", 0x4a340, 0xd0d0d0, 0},
+{W, 1, "", 0x4a344, 0xd1d1d1, 0},
+{W, 1, "", 0x4a348, 0xd2d2d2, 0},
+{W, 1, "", 0x4a34c, 0xd3d3d3, 0},
+{W, 1, "", 0x4a350, 0xd4d4d4, 0},
+{W, 1, "", 0x4a354, 0xd5d5d5, 0},
+{W, 1, "", 0x4a358, 0xd6d6d6, 0},
+{W, 1, "", 0x4a35c, 0xd7d7d7, 0},
+{W, 1, "", 0x4a360, 0xd8d8d8, 0},
+{W, 1, "", 0x4a364, 0xd9d9d9, 0},
+{W, 1, "", 0x4a368, 0xdadada, 0},
+{W, 1, "", 0x4a36c, 0xdbdbdb, 0},
+{W, 1, "", 0x4a370, 0xdcdcdc, 0},
+{W, 1, "", 0x4a374, 0xdddddd, 0},
+{W, 1, "", 0x4a378, 0xdedede, 0},
+{W, 1, "", 0x4a37c, 0xdfdfdf, 0},
+{W, 1, "", 0x4a380, 0xe0e0e0, 0},
+{W, 1, "", 0x4a384, 0xe1e1e1, 0},
+{W, 1, "", 0x4a388, 0xe2e2e2, 0},
+{W, 1, "", 0x4a38c, 0xe3e3e3, 0},
+{W, 1, "", 0x4a390, 0xe4e4e4, 0},
+{W, 1, "", 0x4a394, 0xe5e5e5, 0},
+{W, 1, "", 0x4a398, 0xe6e6e6, 0},
+{W, 1, "", 0x4a39c, 0xe7e7e7, 0},
+{W, 1, "", 0x4a3a0, 0xe8e8e8, 0},
+{W, 1, "", 0x4a3a4, 0xe9e9e9, 0},
+{W, 1, "", 0x4a3a8, 0xeaeaea, 0},
+{W, 1, "", 0x4a3ac, 0xebebeb, 0},
+{W, 1, "", 0x4a3b0, 0xececec, 0},
+{W, 1, "", 0x4a3b4, 0xededed, 0},
+{W, 1, "", 0x4a3b8, 0xeeeeee, 0},
+{W, 1, "", 0x4a3bc, 0xefefef, 0},
+{W, 1, "", 0x4a3c0, 0xf0f0f0, 0},
+{W, 1, "", 0x4a3c4, 0xf1f1f1, 0},
+{W, 1, "", 0x4a3c8, 0xf2f2f2, 0},
+{W, 1, "", 0x4a3cc, 0xf3f3f3, 0},
+{W, 1, "", 0x4a3d0, 0xf4f4f4, 0},
+{W, 1, "", 0x4a3d4, 0xf5f5f5, 0},
+{W, 1, "", 0x4a3d8, 0xf6f6f6, 0},
+{W, 1, "", 0x4a3dc, 0xf7f7f7, 0},
+{W, 1, "", 0x4a3e0, 0xf8f8f8, 0},
+{W, 1, "", 0x4a3e4, 0xf9f9f9, 0},
+{W, 1, "", 0x4a3e8, 0xfafafa, 0},
+{W, 1, "", 0x4a3ec, 0xfbfbfb, 0},
+{W, 1, "", 0x4a3f0, 0xfcfcfc, 0},
+{W, 1, "", 0x4a3f4, 0xfdfdfd, 0},
+{W, 1, "", 0x4a3f8, 0xfefefe, 0},
+{W, 1, "", 0x4a3fc, 0xffffff, 0},
+{W, 1, "", _LGC_PALETTE_A, 0x0, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4, 0x10101, 0},
+{W, 1, "", _LGC_PALETTE_A+0x8, 0x20202, 0},
+{W, 1, "", _LGC_PALETTE_A+0xc, 0x30303, 0},
+{W, 1, "", _LGC_PALETTE_A+0x10, 0x40404, 0},
+{W, 1, "", _LGC_PALETTE_A+0x14, 0x50505, 0},
+{W, 1, "", _LGC_PALETTE_A+0x18, 0x60606, 0},
+{W, 1, "", _LGC_PALETTE_A+0x1c, 0x70707, 0},
+{W, 1, "", _LGC_PALETTE_A+0x20, 0x80808, 0},
+{W, 1, "", _LGC_PALETTE_A+0x24, 0x90909, 0},
+{W, 1, "", _LGC_PALETTE_A+0x28, 0xa0a0a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x2c, 0xb0b0b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x30, 0xc0c0c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x34, 0xd0d0d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x38, 0xe0e0e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x3c, 0xf0f0f, 0},
+{W, 1, "", _LGC_PALETTE_A+0x40, 0x101010, 0},
+{W, 1, "", _LGC_PALETTE_A+0x44, 0x111111, 0},
+{W, 1, "", _LGC_PALETTE_A+0x48, 0x121212, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4c, 0x131313, 0},
+{W, 1, "", _LGC_PALETTE_A+0x50, 0x141414, 0},
+{W, 1, "", _LGC_PALETTE_A+0x54, 0x151515, 0},
+{W, 1, "", _LGC_PALETTE_A+0x58, 0x161616, 0},
+{W, 1, "", _LGC_PALETTE_A+0x5c, 0x171717, 0},
+{W, 1, "", _LGC_PALETTE_A+0x60, 0x181818, 0},
+{W, 1, "", _LGC_PALETTE_A+0x64, 0x191919, 0},
+{W, 1, "", _LGC_PALETTE_A+0x68, 0x1a1a1a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x6c, 0x1b1b1b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x70, 0x1c1c1c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x74, 0x1d1d1d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x78, 0x1e1e1e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x7c, 0x1f1f1f, 0},
+{W, 1, "", 0x4a080, 0x202020, 0},
+{W, 1, "", 0x4a084, 0x212121, 0},
+{W, 1, "", 0x4a088, 0x222222, 0},
+{W, 1, "", 0x4a08c, 0x232323, 0},
+{W, 1, "", 0x4a090, 0x242424, 0},
+{W, 1, "", 0x4a094, 0x252525, 0},
+{W, 1, "", 0x4a098, 0x262626, 0},
+{W, 1, "", 0x4a09c, 0x272727, 0},
+{W, 1, "", 0x4a0a0, 0x282828, 0},
+{W, 1, "", 0x4a0a4, 0x292929, 0},
+{W, 1, "", 0x4a0a8, 0x2a2a2a, 0},
+{W, 1, "", 0x4a0ac, 0x2b2b2b, 0},
+{W, 1, "", 0x4a0b0, 0x2c2c2c, 0},
+{W, 1, "", 0x4a0b4, 0x2d2d2d, 0},
+{W, 1, "", 0x4a0b8, 0x2e2e2e, 0},
+{W, 1, "", 0x4a0bc, 0x2f2f2f, 0},
+{W, 1, "", 0x4a0c0, 0x303030, 0},
+{W, 1, "", 0x4a0c4, 0x313131, 0},
+{W, 1, "", 0x4a0c8, 0x323232, 0},
+{W, 1, "", 0x4a0cc, 0x333333, 0},
+{W, 1, "", 0x4a0d0, 0x343434, 0},
+{W, 1, "", 0x4a0d4, 0x353535, 0},
+{W, 1, "", 0x4a0d8, 0x363636, 0},
+{W, 1, "", 0x4a0dc, 0x373737, 0},
+{W, 1, "", 0x4a0e0, 0x383838, 0},
+{W, 1, "", 0x4a0e4, 0x393939, 0},
+{W, 1, "", 0x4a0e8, 0x3a3a3a, 0},
+{W, 1, "", 0x4a0ec, 0x3b3b3b, 0},
+{W, 1, "", 0x4a0f0, 0x3c3c3c, 0},
+{W, 1, "", 0x4a0f4, 0x3d3d3d, 0},
+{W, 1, "", 0x4a0f8, 0x3e3e3e, 0},
+{W, 1, "", 0x4a0fc, 0x3f3f3f, 0},
+{W, 1, "", 0x4a100, 0x404040, 0},
+{W, 1, "", 0x4a104, 0x414141, 0},
+{W, 1, "", 0x4a108, 0x424242, 0},
+{W, 1, "", 0x4a10c, 0x434343, 0},
+{W, 1, "", 0x4a110, 0x444444, 0},
+{W, 1, "", 0x4a114, 0x454545, 0},
+{W, 1, "", 0x4a118, 0x464646, 0},
+{W, 1, "", 0x4a11c, 0x474747, 0},
+{W, 1, "", 0x4a120, 0x484848, 0},
+{W, 1, "", 0x4a124, 0x494949, 0},
+{W, 1, "", 0x4a128, 0x4a4a4a, 0},
+{W, 1, "", 0x4a12c, 0x4b4b4b, 0},
+{W, 1, "", 0x4a130, 0x4c4c4c, 0},
+{W, 1, "", 0x4a134, 0x4d4d4d, 0},
+{W, 1, "", 0x4a138, 0x4e4e4e, 0},
+{W, 1, "", 0x4a13c, 0x4f4f4f, 0},
+{W, 1, "", 0x4a140, 0x505050, 0},
+{W, 1, "", 0x4a144, 0x515151, 0},
+{W, 1, "", 0x4a148, 0x525252, 0},
+{W, 1, "", 0x4a14c, 0x535353, 0},
+{W, 1, "", 0x4a150, 0x545454, 0},
+{W, 1, "", 0x4a154, 0x555555, 0},
+{W, 1, "", 0x4a158, 0x565656, 0},
+{W, 1, "", 0x4a15c, 0x575757, 0},
+{W, 1, "", 0x4a160, 0x585858, 0},
+{W, 1, "", 0x4a164, 0x595959, 0},
+{W, 1, "", 0x4a168, 0x5a5a5a, 0},
+{W, 1, "", 0x4a16c, 0x5b5b5b, 0},
+{W, 1, "", 0x4a170, 0x5c5c5c, 0},
+{W, 1, "", 0x4a174, 0x5d5d5d, 0},
+{W, 1, "", 0x4a178, 0x5e5e5e, 0},
+{W, 1, "", 0x4a17c, 0x5f5f5f, 0},
+{W, 1, "", 0x4a180, 0x606060, 0},
+{W, 1, "", 0x4a184, 0x616161, 0},
+{W, 1, "", 0x4a188, 0x626262, 0},
+{W, 1, "", 0x4a18c, 0x636363, 0},
+{W, 1, "", 0x4a190, 0x646464, 0},
+{W, 1, "", 0x4a194, 0x656565, 0},
+{W, 1, "", 0x4a198, 0x666666, 0},
+{W, 1, "", 0x4a19c, 0x676767, 0},
+{W, 1, "", 0x4a1a0, 0x686868, 0},
+{W, 1, "", 0x4a1a4, 0x696969, 0},
+{W, 1, "", 0x4a1a8, 0x6a6a6a, 0},
+{W, 1, "", 0x4a1ac, 0x6b6b6b, 0},
+{W, 1, "", 0x4a1b0, 0x6c6c6c, 0},
+{W, 1, "", 0x4a1b4, 0x6d6d6d, 0},
+{W, 1, "", 0x4a1b8, 0x6e6e6e, 0},
+{W, 1, "", 0x4a1bc, 0x6f6f6f, 0},
+{W, 1, "", 0x4a1c0, 0x707070, 0},
+{W, 1, "", 0x4a1c4, 0x717171, 0},
+{W, 1, "", 0x4a1c8, 0x727272, 0},
+{W, 1, "", 0x4a1cc, 0x737373, 0},
+{W, 1, "", 0x4a1d0, 0x747474, 0},
+{W, 1, "", 0x4a1d4, 0x757575, 0},
+{W, 1, "", 0x4a1d8, 0x767676, 0},
+{W, 1, "", 0x4a1dc, 0x777777, 0},
+{W, 1, "", 0x4a1e0, 0x787878, 0},
+{W, 1, "", 0x4a1e4, 0x797979, 0},
+{W, 1, "", 0x4a1e8, 0x7a7a7a, 0},
+{W, 1, "", 0x4a1ec, 0x7b7b7b, 0},
+{W, 1, "", 0x4a1f0, 0x7c7c7c, 0},
+{W, 1, "", 0x4a1f4, 0x7d7d7d, 0},
+{W, 1, "", 0x4a1f8, 0x7e7e7e, 0},
+{W, 1, "", 0x4a1fc, 0x7f7f7f, 0},
+{W, 1, "", 0x4a200, 0x808080, 0},
+{W, 1, "", 0x4a204, 0x818181, 0},
+{W, 1, "", 0x4a208, 0x828282, 0},
+{W, 1, "", 0x4a20c, 0x838383, 0},
+{W, 1, "", 0x4a210, 0x848484, 0},
+{W, 1, "", 0x4a214, 0x858585, 0},
+{W, 1, "", 0x4a218, 0x868686, 0},
+{W, 1, "", 0x4a21c, 0x878787, 0},
+{W, 1, "", 0x4a220, 0x888888, 0},
+{W, 1, "", 0x4a224, 0x898989, 0},
+{W, 1, "", 0x4a228, 0x8a8a8a, 0},
+{W, 1, "", 0x4a22c, 0x8b8b8b, 0},
+{W, 1, "", 0x4a230, 0x8c8c8c, 0},
+{W, 1, "", 0x4a234, 0x8d8d8d, 0},
+{W, 1, "", 0x4a238, 0x8e8e8e, 0},
+{W, 1, "", 0x4a23c, 0x8f8f8f, 0},
+{W, 1, "", 0x4a240, 0x909090, 0},
+{W, 1, "", 0x4a244, 0x919191, 0},
+{W, 1, "", 0x4a248, 0x929292, 0},
+{W, 1, "", 0x4a24c, 0x939393, 0},
+{W, 1, "", 0x4a250, 0x949494, 0},
+{W, 1, "", 0x4a254, 0x959595, 0},
+{W, 1, "", 0x4a258, 0x969696, 0},
+{W, 1, "", 0x4a25c, 0x979797, 0},
+{W, 1, "", 0x4a260, 0x989898, 0},
+{W, 1, "", 0x4a264, 0x999999, 0},
+{W, 1, "", 0x4a268, 0x9a9a9a, 0},
+{W, 1, "", 0x4a26c, 0x9b9b9b, 0},
+{W, 1, "", 0x4a270, 0x9c9c9c, 0},
+{W, 1, "", 0x4a274, 0x9d9d9d, 0},
+{W, 1, "", 0x4a278, 0x9e9e9e, 0},
+{W, 1, "", 0x4a27c, 0x9f9f9f, 0},
+{W, 1, "", 0x4a280, 0xa0a0a0, 0},
+{W, 1, "", 0x4a284, 0xa1a1a1, 0},
+{W, 1, "", 0x4a288, 0xa2a2a2, 0},
+{W, 1, "", 0x4a28c, 0xa3a3a3, 0},
+{W, 1, "", 0x4a290, 0xa4a4a4, 0},
+{W, 1, "", 0x4a294, 0xa5a5a5, 0},
+{W, 1, "", 0x4a298, 0xa6a6a6, 0},
+{W, 1, "", 0x4a29c, 0xa7a7a7, 0},
+{W, 1, "", 0x4a2a0, 0xa8a8a8, 0},
+{W, 1, "", 0x4a2a4, 0xa9a9a9, 0},
+{W, 1, "", 0x4a2a8, 0xaaaaaa, 0},
+{W, 1, "", 0x4a2ac, 0xababab, 0},
+{W, 1, "", 0x4a2b0, 0xacacac, 0},
+{W, 1, "", 0x4a2b4, 0xadadad, 0},
+{W, 1, "", 0x4a2b8, 0xaeaeae, 0},
+{W, 1, "", 0x4a2bc, 0xafafaf, 0},
+{W, 1, "", 0x4a2c0, 0xb0b0b0, 0},
+{W, 1, "", 0x4a2c4, 0xb1b1b1, 0},
+{W, 1, "", 0x4a2c8, 0xb2b2b2, 0},
+{W, 1, "", 0x4a2cc, 0xb3b3b3, 0},
+{W, 1, "", 0x4a2d0, 0xb4b4b4, 0},
+{W, 1, "", 0x4a2d4, 0xb5b5b5, 0},
+{W, 1, "", 0x4a2d8, 0xb6b6b6, 0},
+{W, 1, "", 0x4a2dc, 0xb7b7b7, 0},
+{W, 1, "", 0x4a2e0, 0xb8b8b8, 0},
+{W, 1, "", 0x4a2e4, 0xb9b9b9, 0},
+{W, 1, "", 0x4a2e8, 0xbababa, 0},
+{W, 1, "", 0x4a2ec, 0xbbbbbb, 0},
+{W, 1, "", 0x4a2f0, 0xbcbcbc, 0},
+{W, 1, "", 0x4a2f4, 0xbdbdbd, 0},
+{W, 1, "", 0x4a2f8, 0xbebebe, 0},
+{W, 1, "", 0x4a2fc, 0xbfbfbf, 0},
+{W, 1, "", 0x4a300, 0xc0c0c0, 0},
+{W, 1, "", 0x4a304, 0xc1c1c1, 0},
+{W, 1, "", 0x4a308, 0xc2c2c2, 0},
+{W, 1, "", 0x4a30c, 0xc3c3c3, 0},
+{W, 1, "", 0x4a310, 0xc4c4c4, 0},
+{W, 1, "", 0x4a314, 0xc5c5c5, 0},
+{W, 1, "", 0x4a318, 0xc6c6c6, 0},
+{W, 1, "", 0x4a31c, 0xc7c7c7, 0},
+{W, 1, "", 0x4a320, 0xc8c8c8, 0},
+{W, 1, "", 0x4a324, 0xc9c9c9, 0},
+{W, 1, "", 0x4a328, 0xcacaca, 0},
+{W, 1, "", 0x4a32c, 0xcbcbcb, 0},
+{W, 1, "", 0x4a330, 0xcccccc, 0},
+{W, 1, "", 0x4a334, 0xcdcdcd, 0},
+{W, 1, "", 0x4a338, 0xcecece, 0},
+{W, 1, "", 0x4a33c, 0xcfcfcf, 0},
+{W, 1, "", 0x4a340, 0xd0d0d0, 0},
+{W, 1, "", 0x4a344, 0xd1d1d1, 0},
+{W, 1, "", 0x4a348, 0xd2d2d2, 0},
+{W, 1, "", 0x4a34c, 0xd3d3d3, 0},
+{W, 1, "", 0x4a350, 0xd4d4d4, 0},
+{W, 1, "", 0x4a354, 0xd5d5d5, 0},
+{W, 1, "", 0x4a358, 0xd6d6d6, 0},
+{W, 1, "", 0x4a35c, 0xd7d7d7, 0},
+{W, 1, "", 0x4a360, 0xd8d8d8, 0},
+{W, 1, "", 0x4a364, 0xd9d9d9, 0},
+{W, 1, "", 0x4a368, 0xdadada, 0},
+{W, 1, "", 0x4a36c, 0xdbdbdb, 0},
+{W, 1, "", 0x4a370, 0xdcdcdc, 0},
+{W, 1, "", 0x4a374, 0xdddddd, 0},
+{W, 1, "", 0x4a378, 0xdedede, 0},
+{W, 1, "", 0x4a37c, 0xdfdfdf, 0},
+{W, 1, "", 0x4a380, 0xe0e0e0, 0},
+{W, 1, "", 0x4a384, 0xe1e1e1, 0},
+{W, 1, "", 0x4a388, 0xe2e2e2, 0},
+{W, 1, "", 0x4a38c, 0xe3e3e3, 0},
+{W, 1, "", 0x4a390, 0xe4e4e4, 0},
+{W, 1, "", 0x4a394, 0xe5e5e5, 0},
+{W, 1, "", 0x4a398, 0xe6e6e6, 0},
+{W, 1, "", 0x4a39c, 0xe7e7e7, 0},
+{W, 1, "", 0x4a3a0, 0xe8e8e8, 0},
+{W, 1, "", 0x4a3a4, 0xe9e9e9, 0},
+{W, 1, "", 0x4a3a8, 0xeaeaea, 0},
+{W, 1, "", 0x4a3ac, 0xebebeb, 0},
+{W, 1, "", 0x4a3b0, 0xececec, 0},
+{W, 1, "", 0x4a3b4, 0xededed, 0},
+{W, 1, "", 0x4a3b8, 0xeeeeee, 0},
+{W, 1, "", 0x4a3bc, 0xefefef, 0},
+{W, 1, "", 0x4a3c0, 0xf0f0f0, 0},
+{W, 1, "", 0x4a3c4, 0xf1f1f1, 0},
+{W, 1, "", 0x4a3c8, 0xf2f2f2, 0},
+{W, 1, "", 0x4a3cc, 0xf3f3f3, 0},
+{W, 1, "", 0x4a3d0, 0xf4f4f4, 0},
+{W, 1, "", 0x4a3d4, 0xf5f5f5, 0},
+{W, 1, "", 0x4a3d8, 0xf6f6f6, 0},
+{W, 1, "", 0x4a3dc, 0xf7f7f7, 0},
+{W, 1, "", 0x4a3e0, 0xf8f8f8, 0},
+{W, 1, "", 0x4a3e4, 0xf9f9f9, 0},
+{W, 1, "", 0x4a3e8, 0xfafafa, 0},
+{W, 1, "", 0x4a3ec, 0xfbfbfb, 0},
+{W, 1, "", 0x4a3f0, 0xfcfcfc, 0},
+{W, 1, "", 0x4a3f4, 0xfdfdfd, 0},
+{W, 1, "", 0x4a3f8, 0xfefefe, 0},
+{W, 1, "", 0x4a3fc, 0xffffff, 0},
+{M, 1, "Console switching to colour frame buffer device 170x48", },
+{W, 1, "", _LGC_PALETTE_A, 0x0, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4, 0x10101, 0},
+{W, 1, "", _LGC_PALETTE_A+0x8, 0x20202, 0},
+{W, 1, "", _LGC_PALETTE_A+0xc, 0x30303, 0},
+{W, 1, "", _LGC_PALETTE_A+0x10, 0x40404, 0},
+{W, 1, "", _LGC_PALETTE_A+0x14, 0x50505, 0},
+{W, 1, "", _LGC_PALETTE_A+0x18, 0x60606, 0},
+{W, 1, "", _LGC_PALETTE_A+0x1c, 0x70707, 0},
+{W, 1, "", _LGC_PALETTE_A+0x20, 0x80808, 0},
+{W, 1, "", _LGC_PALETTE_A+0x24, 0x90909, 0},
+{W, 1, "", _LGC_PALETTE_A+0x28, 0xa0a0a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x2c, 0xb0b0b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x30, 0xc0c0c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x34, 0xd0d0d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x38, 0xe0e0e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x3c, 0xf0f0f, 0},
+{W, 1, "", _LGC_PALETTE_A+0x40, 0x101010, 0},
+{W, 1, "", _LGC_PALETTE_A+0x44, 0x111111, 0},
+{W, 1, "", _LGC_PALETTE_A+0x48, 0x121212, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4c, 0x131313, 0},
+{W, 1, "", _LGC_PALETTE_A+0x50, 0x141414, 0},
+{W, 1, "", _LGC_PALETTE_A+0x54, 0x151515, 0},
+{W, 1, "", _LGC_PALETTE_A+0x58, 0x161616, 0},
+{W, 1, "", _LGC_PALETTE_A+0x5c, 0x171717, 0},
+{W, 1, "", _LGC_PALETTE_A+0x60, 0x181818, 0},
+{W, 1, "", _LGC_PALETTE_A+0x64, 0x191919, 0},
+{W, 1, "", _LGC_PALETTE_A+0x68, 0x1a1a1a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x6c, 0x1b1b1b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x70, 0x1c1c1c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x74, 0x1d1d1d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x78, 0x1e1e1e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x7c, 0x1f1f1f, 0},
+{W, 1, "", 0x4a080, 0x202020, 0},
+{W, 1, "", 0x4a084, 0x212121, 0},
+{W, 1, "", 0x4a088, 0x222222, 0},
+{W, 1, "", 0x4a08c, 0x232323, 0},
+{W, 1, "", 0x4a090, 0x242424, 0},
+{W, 1, "", 0x4a094, 0x252525, 0},
+{W, 1, "", 0x4a098, 0x262626, 0},
+{W, 1, "", 0x4a09c, 0x272727, 0},
+{W, 1, "", 0x4a0a0, 0x282828, 0},
+{W, 1, "", 0x4a0a4, 0x292929, 0},
+{W, 1, "", 0x4a0a8, 0x2a2a2a, 0},
+{W, 1, "", 0x4a0ac, 0x2b2b2b, 0},
+{W, 1, "", 0x4a0b0, 0x2c2c2c, 0},
+{W, 1, "", 0x4a0b4, 0x2d2d2d, 0},
+{W, 1, "", 0x4a0b8, 0x2e2e2e, 0},
+{W, 1, "", 0x4a0bc, 0x2f2f2f, 0},
+{W, 1, "", 0x4a0c0, 0x303030, 0},
+{W, 1, "", 0x4a0c4, 0x313131, 0},
+{W, 1, "", 0x4a0c8, 0x323232, 0},
+{W, 1, "", 0x4a0cc, 0x333333, 0},
+{W, 1, "", 0x4a0d0, 0x343434, 0},
+{W, 1, "", 0x4a0d4, 0x353535, 0},
+{W, 1, "", 0x4a0d8, 0x363636, 0},
+{W, 1, "", 0x4a0dc, 0x373737, 0},
+{W, 1, "", 0x4a0e0, 0x383838, 0},
+{W, 1, "", 0x4a0e4, 0x393939, 0},
+{W, 1, "", 0x4a0e8, 0x3a3a3a, 0},
+{W, 1, "", 0x4a0ec, 0x3b3b3b, 0},
+{W, 1, "", 0x4a0f0, 0x3c3c3c, 0},
+{W, 1, "", 0x4a0f4, 0x3d3d3d, 0},
+{W, 1, "", 0x4a0f8, 0x3e3e3e, 0},
+{W, 1, "", 0x4a0fc, 0x3f3f3f, 0},
+{W, 1, "", 0x4a100, 0x404040, 0},
+{W, 1, "", 0x4a104, 0x414141, 0},
+{W, 1, "", 0x4a108, 0x424242, 0},
+{W, 1, "", 0x4a10c, 0x434343, 0},
+{W, 1, "", 0x4a110, 0x444444, 0},
+{W, 1, "", 0x4a114, 0x454545, 0},
+{W, 1, "", 0x4a118, 0x464646, 0},
+{W, 1, "", 0x4a11c, 0x474747, 0},
+{W, 1, "", 0x4a120, 0x484848, 0},
+{W, 1, "", 0x4a124, 0x494949, 0},
+{W, 1, "", 0x4a128, 0x4a4a4a, 0},
+{W, 1, "", 0x4a12c, 0x4b4b4b, 0},
+{W, 1, "", 0x4a130, 0x4c4c4c, 0},
+{W, 1, "", 0x4a134, 0x4d4d4d, 0},
+{W, 1, "", 0x4a138, 0x4e4e4e, 0},
+{W, 1, "", 0x4a13c, 0x4f4f4f, 0},
+{W, 1, "", 0x4a140, 0x505050, 0},
+{W, 1, "", 0x4a144, 0x515151, 0},
+{W, 1, "", 0x4a148, 0x525252, 0},
+{W, 1, "", 0x4a14c, 0x535353, 0},
+{W, 1, "", 0x4a150, 0x545454, 0},
+{W, 1, "", 0x4a154, 0x555555, 0},
+{W, 1, "", 0x4a158, 0x565656, 0},
+{W, 1, "", 0x4a15c, 0x575757, 0},
+{W, 1, "", 0x4a160, 0x585858, 0},
+{W, 1, "", 0x4a164, 0x595959, 0},
+{W, 1, "", 0x4a168, 0x5a5a5a, 0},
+{W, 1, "", 0x4a16c, 0x5b5b5b, 0},
+{W, 1, "", 0x4a170, 0x5c5c5c, 0},
+{W, 1, "", 0x4a174, 0x5d5d5d, 0},
+{W, 1, "", 0x4a178, 0x5e5e5e, 0},
+{W, 1, "", 0x4a17c, 0x5f5f5f, 0},
+{W, 1, "", 0x4a180, 0x606060, 0},
+{W, 1, "", 0x4a184, 0x616161, 0},
+{W, 1, "", 0x4a188, 0x626262, 0},
+{W, 1, "", 0x4a18c, 0x636363, 0},
+{W, 1, "", 0x4a190, 0x646464, 0},
+{W, 1, "", 0x4a194, 0x656565, 0},
+{W, 1, "", 0x4a198, 0x666666, 0},
+{W, 1, "", 0x4a19c, 0x676767, 0},
+{W, 1, "", 0x4a1a0, 0x686868, 0},
+{W, 1, "", 0x4a1a4, 0x696969, 0},
+{W, 1, "", 0x4a1a8, 0x6a6a6a, 0},
+{W, 1, "", 0x4a1ac, 0x6b6b6b, 0},
+{W, 1, "", 0x4a1b0, 0x6c6c6c, 0},
+{W, 1, "", 0x4a1b4, 0x6d6d6d, 0},
+{W, 1, "", 0x4a1b8, 0x6e6e6e, 0},
+{W, 1, "", 0x4a1bc, 0x6f6f6f, 0},
+{W, 1, "", 0x4a1c0, 0x707070, 0},
+{W, 1, "", 0x4a1c4, 0x717171, 0},
+{W, 1, "", 0x4a1c8, 0x727272, 0},
+{W, 1, "", 0x4a1cc, 0x737373, 0},
+{W, 1, "", 0x4a1d0, 0x747474, 0},
+{W, 1, "", 0x4a1d4, 0x757575, 0},
+{W, 1, "", 0x4a1d8, 0x767676, 0},
+{W, 1, "", 0x4a1dc, 0x777777, 0},
+{W, 1, "", 0x4a1e0, 0x787878, 0},
+{W, 1, "", 0x4a1e4, 0x797979, 0},
+{W, 1, "", 0x4a1e8, 0x7a7a7a, 0},
+{W, 1, "", 0x4a1ec, 0x7b7b7b, 0},
+{W, 1, "", 0x4a1f0, 0x7c7c7c, 0},
+{W, 1, "", 0x4a1f4, 0x7d7d7d, 0},
+{W, 1, "", 0x4a1f8, 0x7e7e7e, 0},
+{W, 1, "", 0x4a1fc, 0x7f7f7f, 0},
+{W, 1, "", 0x4a200, 0x808080, 0},
+{W, 1, "", 0x4a204, 0x818181, 0},
+{W, 1, "", 0x4a208, 0x828282, 0},
+{W, 1, "", 0x4a20c, 0x838383, 0},
+{W, 1, "", 0x4a210, 0x848484, 0},
+{W, 1, "", 0x4a214, 0x858585, 0},
+{W, 1, "", 0x4a218, 0x868686, 0},
+{W, 1, "", 0x4a21c, 0x878787, 0},
+{W, 1, "", 0x4a220, 0x888888, 0},
+{W, 1, "", 0x4a224, 0x898989, 0},
+{W, 1, "", 0x4a228, 0x8a8a8a, 0},
+{W, 1, "", 0x4a22c, 0x8b8b8b, 0},
+{W, 1, "", 0x4a230, 0x8c8c8c, 0},
+{W, 1, "", 0x4a234, 0x8d8d8d, 0},
+{W, 1, "", 0x4a238, 0x8e8e8e, 0},
+{W, 1, "", 0x4a23c, 0x8f8f8f, 0},
+{W, 1, "", 0x4a240, 0x909090, 0},
+{W, 1, "", 0x4a244, 0x919191, 0},
+{W, 1, "", 0x4a248, 0x929292, 0},
+{W, 1, "", 0x4a24c, 0x939393, 0},
+{W, 1, "", 0x4a250, 0x949494, 0},
+{W, 1, "", 0x4a254, 0x959595, 0},
+{W, 1, "", 0x4a258, 0x969696, 0},
+{W, 1, "", 0x4a25c, 0x979797, 0},
+{W, 1, "", 0x4a260, 0x989898, 0},
+{W, 1, "", 0x4a264, 0x999999, 0},
+{W, 1, "", 0x4a268, 0x9a9a9a, 0},
+{W, 1, "", 0x4a26c, 0x9b9b9b, 0},
+{W, 1, "", 0x4a270, 0x9c9c9c, 0},
+{W, 1, "", 0x4a274, 0x9d9d9d, 0},
+{W, 1, "", 0x4a278, 0x9e9e9e, 0},
+{W, 1, "", 0x4a27c, 0x9f9f9f, 0},
+{W, 1, "", 0x4a280, 0xa0a0a0, 0},
+{W, 1, "", 0x4a284, 0xa1a1a1, 0},
+{W, 1, "", 0x4a288, 0xa2a2a2, 0},
+{W, 1, "", 0x4a28c, 0xa3a3a3, 0},
+{W, 1, "", 0x4a290, 0xa4a4a4, 0},
+{W, 1, "", 0x4a294, 0xa5a5a5, 0},
+{W, 1, "", 0x4a298, 0xa6a6a6, 0},
+{W, 1, "", 0x4a29c, 0xa7a7a7, 0},
+{W, 1, "", 0x4a2a0, 0xa8a8a8, 0},
+{W, 1, "", 0x4a2a4, 0xa9a9a9, 0},
+{W, 1, "", 0x4a2a8, 0xaaaaaa, 0},
+{W, 1, "", 0x4a2ac, 0xababab, 0},
+{W, 1, "", 0x4a2b0, 0xacacac, 0},
+{W, 1, "", 0x4a2b4, 0xadadad, 0},
+{W, 1, "", 0x4a2b8, 0xaeaeae, 0},
+{W, 1, "", 0x4a2bc, 0xafafaf, 0},
+{W, 1, "", 0x4a2c0, 0xb0b0b0, 0},
+{W, 1, "", 0x4a2c4, 0xb1b1b1, 0},
+{W, 1, "", 0x4a2c8, 0xb2b2b2, 0},
+{W, 1, "", 0x4a2cc, 0xb3b3b3, 0},
+{W, 1, "", 0x4a2d0, 0xb4b4b4, 0},
+{W, 1, "", 0x4a2d4, 0xb5b5b5, 0},
+{W, 1, "", 0x4a2d8, 0xb6b6b6, 0},
+{W, 1, "", 0x4a2dc, 0xb7b7b7, 0},
+{W, 1, "", 0x4a2e0, 0xb8b8b8, 0},
+{W, 1, "", 0x4a2e4, 0xb9b9b9, 0},
+{W, 1, "", 0x4a2e8, 0xbababa, 0},
+{W, 1, "", 0x4a2ec, 0xbbbbbb, 0},
+{W, 1, "", 0x4a2f0, 0xbcbcbc, 0},
+{W, 1, "", 0x4a2f4, 0xbdbdbd, 0},
+{W, 1, "", 0x4a2f8, 0xbebebe, 0},
+{W, 1, "", 0x4a2fc, 0xbfbfbf, 0},
+{W, 1, "", 0x4a300, 0xc0c0c0, 0},
+{W, 1, "", 0x4a304, 0xc1c1c1, 0},
+{W, 1, "", 0x4a308, 0xc2c2c2, 0},
+{W, 1, "", 0x4a30c, 0xc3c3c3, 0},
+{W, 1, "", 0x4a310, 0xc4c4c4, 0},
+{W, 1, "", 0x4a314, 0xc5c5c5, 0},
+{W, 1, "", 0x4a318, 0xc6c6c6, 0},
+{W, 1, "", 0x4a31c, 0xc7c7c7, 0},
+{W, 1, "", 0x4a320, 0xc8c8c8, 0},
+{W, 1, "", 0x4a324, 0xc9c9c9, 0},
+{W, 1, "", 0x4a328, 0xcacaca, 0},
+{W, 1, "", 0x4a32c, 0xcbcbcb, 0},
+{W, 1, "", 0x4a330, 0xcccccc, 0},
+{W, 1, "", 0x4a334, 0xcdcdcd, 0},
+{W, 1, "", 0x4a338, 0xcecece, 0},
+{W, 1, "", 0x4a33c, 0xcfcfcf, 0},
+{W, 1, "", 0x4a340, 0xd0d0d0, 0},
+{W, 1, "", 0x4a344, 0xd1d1d1, 0},
+{W, 1, "", 0x4a348, 0xd2d2d2, 0},
+{W, 1, "", 0x4a34c, 0xd3d3d3, 0},
+{W, 1, "", 0x4a350, 0xd4d4d4, 0},
+{W, 1, "", 0x4a354, 0xd5d5d5, 0},
+{W, 1, "", 0x4a358, 0xd6d6d6, 0},
+{W, 1, "", 0x4a35c, 0xd7d7d7, 0},
+{W, 1, "", 0x4a360, 0xd8d8d8, 0},
+{W, 1, "", 0x4a364, 0xd9d9d9, 0},
+{W, 1, "", 0x4a368, 0xdadada, 0},
+{W, 1, "", 0x4a36c, 0xdbdbdb, 0},
+{W, 1, "", 0x4a370, 0xdcdcdc, 0},
+{W, 1, "", 0x4a374, 0xdddddd, 0},
+{W, 1, "", 0x4a378, 0xdedede, 0},
+{W, 1, "", 0x4a37c, 0xdfdfdf, 0},
+{W, 1, "", 0x4a380, 0xe0e0e0, 0},
+{W, 1, "", 0x4a384, 0xe1e1e1, 0},
+{W, 1, "", 0x4a388, 0xe2e2e2, 0},
+{W, 1, "", 0x4a38c, 0xe3e3e3, 0},
+{W, 1, "", 0x4a390, 0xe4e4e4, 0},
+{W, 1, "", 0x4a394, 0xe5e5e5, 0},
+{W, 1, "", 0x4a398, 0xe6e6e6, 0},
+{W, 1, "", 0x4a39c, 0xe7e7e7, 0},
+{W, 1, "", 0x4a3a0, 0xe8e8e8, 0},
+{W, 1, "", 0x4a3a4, 0xe9e9e9, 0},
+{W, 1, "", 0x4a3a8, 0xeaeaea, 0},
+{W, 1, "", 0x4a3ac, 0xebebeb, 0},
+{W, 1, "", 0x4a3b0, 0xececec, 0},
+{W, 1, "", 0x4a3b4, 0xededed, 0},
+{W, 1, "", 0x4a3b8, 0xeeeeee, 0},
+{W, 1, "", 0x4a3bc, 0xefefef, 0},
+{W, 1, "", 0x4a3c0, 0xf0f0f0, 0},
+{W, 1, "", 0x4a3c4, 0xf1f1f1, 0},
+{W, 1, "", 0x4a3c8, 0xf2f2f2, 0},
+{W, 1, "", 0x4a3cc, 0xf3f3f3, 0},
+{W, 1, "", 0x4a3d0, 0xf4f4f4, 0},
+{W, 1, "", 0x4a3d4, 0xf5f5f5, 0},
+{W, 1, "", 0x4a3d8, 0xf6f6f6, 0},
+{W, 1, "", 0x4a3dc, 0xf7f7f7, 0},
+{W, 1, "", 0x4a3e0, 0xf8f8f8, 0},
+{W, 1, "", 0x4a3e4, 0xf9f9f9, 0},
+{W, 1, "", 0x4a3e8, 0xfafafa, 0},
+{W, 1, "", 0x4a3ec, 0xfbfbfb, 0},
+{W, 1, "", 0x4a3f0, 0xfcfcfc, 0},
+{W, 1, "", 0x4a3f4, 0xfdfdfd, 0},
+{W, 1, "", 0x4a3f8, 0xfefefe, 0},
+{W, 1, "", 0x4a3fc, 0xffffff, 0},
+{W, 1, "", _LGC_PALETTE_A, 0x0, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4, 0x10101, 0},
+{W, 1, "", _LGC_PALETTE_A+0x8, 0x20202, 0},
+{W, 1, "", _LGC_PALETTE_A+0xc, 0x30303, 0},
+{W, 1, "", _LGC_PALETTE_A+0x10, 0x40404, 0},
+{W, 1, "", _LGC_PALETTE_A+0x14, 0x50505, 0},
+{W, 1, "", _LGC_PALETTE_A+0x18, 0x60606, 0},
+{W, 1, "", _LGC_PALETTE_A+0x1c, 0x70707, 0},
+{W, 1, "", _LGC_PALETTE_A+0x20, 0x80808, 0},
+{W, 1, "", _LGC_PALETTE_A+0x24, 0x90909, 0},
+{W, 1, "", _LGC_PALETTE_A+0x28, 0xa0a0a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x2c, 0xb0b0b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x30, 0xc0c0c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x34, 0xd0d0d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x38, 0xe0e0e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x3c, 0xf0f0f, 0},
+{W, 1, "", _LGC_PALETTE_A+0x40, 0x101010, 0},
+{W, 1, "", _LGC_PALETTE_A+0x44, 0x111111, 0},
+{W, 1, "", _LGC_PALETTE_A+0x48, 0x121212, 0},
+{W, 1, "", _LGC_PALETTE_A+0x4c, 0x131313, 0},
+{W, 1, "", _LGC_PALETTE_A+0x50, 0x141414, 0},
+{W, 1, "", _LGC_PALETTE_A+0x54, 0x151515, 0},
+{W, 1, "", _LGC_PALETTE_A+0x58, 0x161616, 0},
+{W, 1, "", _LGC_PALETTE_A+0x5c, 0x171717, 0},
+{W, 1, "", _LGC_PALETTE_A+0x60, 0x181818, 0},
+{W, 1, "", _LGC_PALETTE_A+0x64, 0x191919, 0},
+{W, 1, "", _LGC_PALETTE_A+0x68, 0x1a1a1a, 0},
+{W, 1, "", _LGC_PALETTE_A+0x6c, 0x1b1b1b, 0},
+{W, 1, "", _LGC_PALETTE_A+0x70, 0x1c1c1c, 0},
+{W, 1, "", _LGC_PALETTE_A+0x74, 0x1d1d1d, 0},
+{W, 1, "", _LGC_PALETTE_A+0x78, 0x1e1e1e, 0},
+{W, 1, "", _LGC_PALETTE_A+0x7c, 0x1f1f1f, 0},
+{W, 1, "", 0x4a080, 0x202020, 0},
+{W, 1, "", 0x4a084, 0x212121, 0},
+{W, 1, "", 0x4a088, 0x222222, 0},
+{W, 1, "", 0x4a08c, 0x232323, 0},
+{W, 1, "", 0x4a090, 0x242424, 0},
+{W, 1, "", 0x4a094, 0x252525, 0},
+{W, 1, "", 0x4a098, 0x262626, 0},
+{W, 1, "", 0x4a09c, 0x272727, 0},
+{W, 1, "", 0x4a0a0, 0x282828, 0},
+{W, 1, "", 0x4a0a4, 0x292929, 0},
+{W, 1, "", 0x4a0a8, 0x2a2a2a, 0},
+{W, 1, "", 0x4a0ac, 0x2b2b2b, 0},
+{W, 1, "", 0x4a0b0, 0x2c2c2c, 0},
+{W, 1, "", 0x4a0b4, 0x2d2d2d, 0},
+{W, 1, "", 0x4a0b8, 0x2e2e2e, 0},
+{W, 1, "", 0x4a0bc, 0x2f2f2f, 0},
+{W, 1, "", 0x4a0c0, 0x303030, 0},
+{W, 1, "", 0x4a0c4, 0x313131, 0},
+{W, 1, "", 0x4a0c8, 0x323232, 0},
+{W, 1, "", 0x4a0cc, 0x333333, 0},
+{W, 1, "", 0x4a0d0, 0x343434, 0},
+{W, 1, "", 0x4a0d4, 0x353535, 0},
+{W, 1, "", 0x4a0d8, 0x363636, 0},
+{W, 1, "", 0x4a0dc, 0x373737, 0},
+{W, 1, "", 0x4a0e0, 0x383838, 0},
+{W, 1, "", 0x4a0e4, 0x393939, 0},
+{W, 1, "", 0x4a0e8, 0x3a3a3a, 0},
+{W, 1, "", 0x4a0ec, 0x3b3b3b, 0},
+{W, 1, "", 0x4a0f0, 0x3c3c3c, 0},
+{W, 1, "", 0x4a0f4, 0x3d3d3d, 0},
+{W, 1, "", 0x4a0f8, 0x3e3e3e, 0},
+{W, 1, "", 0x4a0fc, 0x3f3f3f, 0},
+{W, 1, "", 0x4a100, 0x404040, 0},
+{W, 1, "", 0x4a104, 0x414141, 0},
+{W, 1, "", 0x4a108, 0x424242, 0},
+{W, 1, "", 0x4a10c, 0x434343, 0},
+{W, 1, "", 0x4a110, 0x444444, 0},
+{W, 1, "", 0x4a114, 0x454545, 0},
+{W, 1, "", 0x4a118, 0x464646, 0},
+{W, 1, "", 0x4a11c, 0x474747, 0},
+{W, 1, "", 0x4a120, 0x484848, 0},
+{W, 1, "", 0x4a124, 0x494949, 0},
+{W, 1, "", 0x4a128, 0x4a4a4a, 0},
+{W, 1, "", 0x4a12c, 0x4b4b4b, 0},
+{W, 1, "", 0x4a130, 0x4c4c4c, 0},
+{W, 1, "", 0x4a134, 0x4d4d4d, 0},
+{W, 1, "", 0x4a138, 0x4e4e4e, 0},
+{W, 1, "", 0x4a13c, 0x4f4f4f, 0},
+{W, 1, "", 0x4a140, 0x505050, 0},
+{W, 1, "", 0x4a144, 0x515151, 0},
+{W, 1, "", 0x4a148, 0x525252, 0},
+{W, 1, "", 0x4a14c, 0x535353, 0},
+{W, 1, "", 0x4a150, 0x545454, 0},
+{W, 1, "", 0x4a154, 0x555555, 0},
+{W, 1, "", 0x4a158, 0x565656, 0},
+{W, 1, "", 0x4a15c, 0x575757, 0},
+{W, 1, "", 0x4a160, 0x585858, 0},
+{W, 1, "", 0x4a164, 0x595959, 0},
+{W, 1, "", 0x4a168, 0x5a5a5a, 0},
+{W, 1, "", 0x4a16c, 0x5b5b5b, 0},
+{W, 1, "", 0x4a170, 0x5c5c5c, 0},
+{W, 1, "", 0x4a174, 0x5d5d5d, 0},
+{W, 1, "", 0x4a178, 0x5e5e5e, 0},
+{W, 1, "", 0x4a17c, 0x5f5f5f, 0},
+{W, 1, "", 0x4a180, 0x606060, 0},
+{W, 1, "", 0x4a184, 0x616161, 0},
+{W, 1, "", 0x4a188, 0x626262, 0},
+{W, 1, "", 0x4a18c, 0x636363, 0},
+{W, 1, "", 0x4a190, 0x646464, 0},
+{W, 1, "", 0x4a194, 0x656565, 0},
+{W, 1, "", 0x4a198, 0x666666, 0},
+{W, 1, "", 0x4a19c, 0x676767, 0},
+{W, 1, "", 0x4a1a0, 0x686868, 0},
+{W, 1, "", 0x4a1a4, 0x696969, 0},
+{W, 1, "", 0x4a1a8, 0x6a6a6a, 0},
+{W, 1, "", 0x4a1ac, 0x6b6b6b, 0},
+{W, 1, "", 0x4a1b0, 0x6c6c6c, 0},
+{W, 1, "", 0x4a1b4, 0x6d6d6d, 0},
+{W, 1, "", 0x4a1b8, 0x6e6e6e, 0},
+{W, 1, "", 0x4a1bc, 0x6f6f6f, 0},
+{W, 1, "", 0x4a1c0, 0x707070, 0},
+{W, 1, "", 0x4a1c4, 0x717171, 0},
+{W, 1, "", 0x4a1c8, 0x727272, 0},
+{W, 1, "", 0x4a1cc, 0x737373, 0},
+{W, 1, "", 0x4a1d0, 0x747474, 0},
+{W, 1, "", 0x4a1d4, 0x757575, 0},
+{W, 1, "", 0x4a1d8, 0x767676, 0},
+{W, 1, "", 0x4a1dc, 0x777777, 0},
+{W, 1, "", 0x4a1e0, 0x787878, 0},
+{W, 1, "", 0x4a1e4, 0x797979, 0},
+{W, 1, "", 0x4a1e8, 0x7a7a7a, 0},
+{W, 1, "", 0x4a1ec, 0x7b7b7b, 0},
+{W, 1, "", 0x4a1f0, 0x7c7c7c, 0},
+{W, 1, "", 0x4a1f4, 0x7d7d7d, 0},
+{W, 1, "", 0x4a1f8, 0x7e7e7e, 0},
+{W, 1, "", 0x4a1fc, 0x7f7f7f, 0},
+{W, 1, "", 0x4a200, 0x808080, 0},
+{W, 1, "", 0x4a204, 0x818181, 0},
+{W, 1, "", 0x4a208, 0x828282, 0},
+{W, 1, "", 0x4a20c, 0x838383, 0},
+{W, 1, "", 0x4a210, 0x848484, 0},
+{W, 1, "", 0x4a214, 0x858585, 0},
+{W, 1, "", 0x4a218, 0x868686, 0},
+{W, 1, "", 0x4a21c, 0x878787, 0},
+{W, 1, "", 0x4a220, 0x888888, 0},
+{W, 1, "", 0x4a224, 0x898989, 0},
+{W, 1, "", 0x4a228, 0x8a8a8a, 0},
+{W, 1, "", 0x4a22c, 0x8b8b8b, 0},
+{W, 1, "", 0x4a230, 0x8c8c8c, 0},
+{W, 1, "", 0x4a234, 0x8d8d8d, 0},
+{W, 1, "", 0x4a238, 0x8e8e8e, 0},
+{W, 1, "", 0x4a23c, 0x8f8f8f, 0},
+{W, 1, "", 0x4a240, 0x909090, 0},
+{W, 1, "", 0x4a244, 0x919191, 0},
+{W, 1, "", 0x4a248, 0x929292, 0},
+{W, 1, "", 0x4a24c, 0x939393, 0},
+{W, 1, "", 0x4a250, 0x949494, 0},
+{W, 1, "", 0x4a254, 0x959595, 0},
+{W, 1, "", 0x4a258, 0x969696, 0},
+{W, 1, "", 0x4a25c, 0x979797, 0},
+{W, 1, "", 0x4a260, 0x989898, 0},
+{W, 1, "", 0x4a264, 0x999999, 0},
+{W, 1, "", 0x4a268, 0x9a9a9a, 0},
+{W, 1, "", 0x4a26c, 0x9b9b9b, 0},
+{W, 1, "", 0x4a270, 0x9c9c9c, 0},
+{W, 1, "", 0x4a274, 0x9d9d9d, 0},
+{W, 1, "", 0x4a278, 0x9e9e9e, 0},
+{W, 1, "", 0x4a27c, 0x9f9f9f, 0},
+{W, 1, "", 0x4a280, 0xa0a0a0, 0},
+{W, 1, "", 0x4a284, 0xa1a1a1, 0},
+{W, 1, "", 0x4a288, 0xa2a2a2, 0},
+{W, 1, "", 0x4a28c, 0xa3a3a3, 0},
+{W, 1, "", 0x4a290, 0xa4a4a4, 0},
+{W, 1, "", 0x4a294, 0xa5a5a5, 0},
+{W, 1, "", 0x4a298, 0xa6a6a6, 0},
+{W, 1, "", 0x4a29c, 0xa7a7a7, 0},
+{W, 1, "", 0x4a2a0, 0xa8a8a8, 0},
+{W, 1, "", 0x4a2a4, 0xa9a9a9, 0},
+{W, 1, "", 0x4a2a8, 0xaaaaaa, 0},
+{W, 1, "", 0x4a2ac, 0xababab, 0},
+{W, 1, "", 0x4a2b0, 0xacacac, 0},
+{W, 1, "", 0x4a2b4, 0xadadad, 0},
+{W, 1, "", 0x4a2b8, 0xaeaeae, 0},
+{W, 1, "", 0x4a2bc, 0xafafaf, 0},
+{W, 1, "", 0x4a2c0, 0xb0b0b0, 0},
+{W, 1, "", 0x4a2c4, 0xb1b1b1, 0},
+{W, 1, "", 0x4a2c8, 0xb2b2b2, 0},
+{W, 1, "", 0x4a2cc, 0xb3b3b3, 0},
+{W, 1, "", 0x4a2d0, 0xb4b4b4, 0},
+{W, 1, "", 0x4a2d4, 0xb5b5b5, 0},
+{W, 1, "", 0x4a2d8, 0xb6b6b6, 0},
+{W, 1, "", 0x4a2dc, 0xb7b7b7, 0},
+{W, 1, "", 0x4a2e0, 0xb8b8b8, 0},
+{W, 1, "", 0x4a2e4, 0xb9b9b9, 0},
+{W, 1, "", 0x4a2e8, 0xbababa, 0},
+{W, 1, "", 0x4a2ec, 0xbbbbbb, 0},
+{W, 1, "", 0x4a2f0, 0xbcbcbc, 0},
+{W, 1, "", 0x4a2f4, 0xbdbdbd, 0},
+{W, 1, "", 0x4a2f8, 0xbebebe, 0},
+{W, 1, "", 0x4a2fc, 0xbfbfbf, 0},
+{W, 1, "", 0x4a300, 0xc0c0c0, 0},
+{W, 1, "", 0x4a304, 0xc1c1c1, 0},
+{W, 1, "", 0x4a308, 0xc2c2c2, 0},
+{W, 1, "", 0x4a30c, 0xc3c3c3, 0},
+{W, 1, "", 0x4a310, 0xc4c4c4, 0},
+{W, 1, "", 0x4a314, 0xc5c5c5, 0},
+{W, 1, "", 0x4a318, 0xc6c6c6, 0},
+{W, 1, "", 0x4a31c, 0xc7c7c7, 0},
+{W, 1, "", 0x4a320, 0xc8c8c8, 0},
+{W, 1, "", 0x4a324, 0xc9c9c9, 0},
+{W, 1, "", 0x4a328, 0xcacaca, 0},
+{W, 1, "", 0x4a32c, 0xcbcbcb, 0},
+{W, 1, "", 0x4a330, 0xcccccc, 0},
+{W, 1, "", 0x4a334, 0xcdcdcd, 0},
+{W, 1, "", 0x4a338, 0xcecece, 0},
+{W, 1, "", 0x4a33c, 0xcfcfcf, 0},
+{W, 1, "", 0x4a340, 0xd0d0d0, 0},
+{W, 1, "", 0x4a344, 0xd1d1d1, 0},
+{W, 1, "", 0x4a348, 0xd2d2d2, 0},
+{W, 1, "", 0x4a34c, 0xd3d3d3, 0},
+{W, 1, "", 0x4a350, 0xd4d4d4, 0},
+{W, 1, "", 0x4a354, 0xd5d5d5, 0},
+{W, 1, "", 0x4a358, 0xd6d6d6, 0},
+{W, 1, "", 0x4a35c, 0xd7d7d7, 0},
+{W, 1, "", 0x4a360, 0xd8d8d8, 0},
+{W, 1, "", 0x4a364, 0xd9d9d9, 0},
+{W, 1, "", 0x4a368, 0xdadada, 0},
+{W, 1, "", 0x4a36c, 0xdbdbdb, 0},
+{W, 1, "", 0x4a370, 0xdcdcdc, 0},
+{W, 1, "", 0x4a374, 0xdddddd, 0},
+{W, 1, "", 0x4a378, 0xdedede, 0},
+{W, 1, "", 0x4a37c, 0xdfdfdf, 0},
+{W, 1, "", 0x4a380, 0xe0e0e0, 0},
+{W, 1, "", 0x4a384, 0xe1e1e1, 0},
+{W, 1, "", 0x4a388, 0xe2e2e2, 0},
+{W, 1, "", 0x4a38c, 0xe3e3e3, 0},
+{W, 1, "", 0x4a390, 0xe4e4e4, 0},
+{W, 1, "", 0x4a394, 0xe5e5e5, 0},
+{W, 1, "", 0x4a398, 0xe6e6e6, 0},
+{W, 1, "", 0x4a39c, 0xe7e7e7, 0},
+{W, 1, "", 0x4a3a0, 0xe8e8e8, 0},
+{W, 1, "", 0x4a3a4, 0xe9e9e9, 0},
+{W, 1, "", 0x4a3a8, 0xeaeaea, 0},
+{W, 1, "", 0x4a3ac, 0xebebeb, 0},
+{W, 1, "", 0x4a3b0, 0xececec, 0},
+{W, 1, "", 0x4a3b4, 0xededed, 0},
+{W, 1, "", 0x4a3b8, 0xeeeeee, 0},
+{W, 1, "", 0x4a3bc, 0xefefef, 0},
+{W, 1, "", 0x4a3c0, 0xf0f0f0, 0},
+{W, 1, "", 0x4a3c4, 0xf1f1f1, 0},
+{W, 1, "", 0x4a3c8, 0xf2f2f2, 0},
+{W, 1, "", 0x4a3cc, 0xf3f3f3, 0},
+{W, 1, "", 0x4a3d0, 0xf4f4f4, 0},
+{W, 1, "", 0x4a3d4, 0xf5f5f5, 0},
+{W, 1, "", 0x4a3d8, 0xf6f6f6, 0},
+{W, 1, "", 0x4a3dc, 0xf7f7f7, 0},
+{W, 1, "", 0x4a3e0, 0xf8f8f8, 0},
+{W, 1, "", 0x4a3e4, 0xf9f9f9, 0},
+{W, 1, "", 0x4a3e8, 0xfafafa, 0},
+{W, 1, "", 0x4a3ec, 0xfbfbfb, 0},
+{W, 1, "", 0x4a3f0, 0xfcfcfc, 0},
+{W, 1, "", 0x4a3f4, 0xfdfdfd, 0},
+{W, 1, "", 0x4a3f8, 0xfefefe, 0},
+{W, 1, "", 0x4a3fc, 0xffffff, 0},
+{M, 1, "fb0 inteldrmfb frame buffer device", },
+{M, 1, "drm registered panic notifier", },
+{M, 1, "[drm] Initialized i915 1.6.0 20080730 for 0000 00 02.0 on minor 0", },
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/mainboard.c b/src/mainboard/gigabyte/ga-b75m-d3h/mainboard.c
new file mode 100644
index 0000000..a0c6141
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/mainboard.c
@@ -0,0 +1,188 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ * Copyright (C) 2011 The ChromiumOS Authors.  All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <types.h>
+#include <string.h>
+#include <device/device.h>
+#include <device/pci_def.h>
+#include <device/pci_ops.h>
+#include <console/console.h>
+#if defined(CONFIG_PCI_OPTION_ROM_RUN_YABEL) && CONFIG_PCI_OPTION_ROM_RUN_YABEL
+#include <x86emu/x86emu.h>
+#endif
+#include <pc80/mc146818rtc.h>
+#include <arch/acpi.h>
+#include <arch/io.h>
+#include <arch/interrupt.h>
+#include <boot/coreboot_tables.h>
+#include "onboard.h"
+#include <southbridge/intel/bd82x6x/pch.h>
+#include <smbios.h>
+#include <device/pci.h>
+
+#ifdef DO_I_REALLY_NEED_THIS_AND_DO_I_UNDERSTAND_WHAT_IT_DOES
+void mainboard_suspend_resume(void)
+{
+	/* Call SMM finalize() handlers before resume */
+	outb(0xcb, 0xb2);
+}
+#endif
+
+#if defined(CONFIG_PCI_OPTION_ROM_RUN_YABEL) && CONFIG_PCI_OPTION_ROM_RUN_YABEL
+static int int15_handler(void)
+{
+	printk(BIOS_DEBUG, "%s: AX=%04x BX=%04x CX=%04x DX=%04x\n",
+			  __func__, M.x86.R_AX, M.x86.R_BX, M.x86.R_CX, M.x86.R_DX);
+
+	switch (M.x86.R_AX) {
+	case 0x5f34:
+		/*
+		 * Set Panel Fitting Hook:
+		 *  bit 2 = Graphics Stretching
+		 *  bit 1 = Text Stretching
+		 *  bit 0 = Centering (do not set with bit1 or bit2)
+		 */
+		M.x86.R_AX = 0x005f;
+		M.x86.R_CL = 0x00;
+		break;
+	case 0x5f35:
+		/*
+		 * Boot Display Device Hook:
+		 *  bit 0 = CRT
+		 *  bit 1 = TV (eDP)
+		 *  bit 2 = EFP
+		 *  bit 3 = LFP
+		 *  bit 4 = CRT2
+		 *  bit 5 = TV2 (eDP)
+		 *  bit 6 = EFP2
+		 *  bit 7 = LFP2
+		 */
+		M.x86.R_AX = 0x005f;
+		M.x86.R_CX = 0x0000; /* Use video bios default */
+		break;
+	case 0x5f51:
+		/*
+		 * Hook to select active LFP configuration:
+		 *  00h = No LVDS, VBIOS does not enable LVDS
+		 *  01h = Int-LVDS, LFP driven by integrated LVDS decoder
+		 *  02h = SVDO-LVDS, LFP driven by SVDO decoder
+		 *  03h = eDP, LFP Driven by Int-DisplayPort encoder
+		 */
+		M.x86.R_AX = 0x005f;
+		M.x86.R_CX = 1;
+		break;
+	case 0x5f70:
+		switch (M.x86.R_CH) {
+		case 0:
+			/* Get Mux */
+			M.x86.R_AX = 0x005f;
+			M.x86.R_CL = 0;
+			break;
+		case 1:
+			/* Set Mux */
+			M.x86.R_AX = 0x005f;
+			M.x86.R_CX = 0;
+			break;
+		case 2:
+			/* Get SG/Non-SG mode */
+			M.x86.R_AX = 0x005f;
+			M.x86.R_CX = 0;
+			break;
+		default:
+			/* Interrupt was not handled */
+			printk(BIOS_DEBUG, "Unknown INT15 5f70 function: 0x%02x\n",
+				M.x86.R_CH);
+			return 0;
+		}
+		break;
+	default:
+		/* Interrupt was not handled */
+		printk(BIOS_DEBUG, "Unknown INT15 function: 0x%04x\n",
+			M.x86.R_AX);
+		return 0;
+	}
+
+	/* Interrupt handled */
+	return 1;
+}
+#endif
+
+#if CONFIG_PCI_OPTION_ROM_RUN_YABEL || CONFIG_PCI_OPTION_ROM_RUN_REALMODE
+static void int15_install(void)
+{
+#if CONFIG_PCI_OPTION_ROM_RUN_YABEL
+	typedef int (* yabel_handleIntFunc)(void);
+	extern yabel_handleIntFunc yabel_intFuncArray[256];
+	yabel_intFuncArray[0x15] = int15_handler;
+#endif
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_REALMODE
+	//mainboard_interrupt_handlers(0x15, &int15_handler);
+#endif
+}
+#endif
+
+/* Audio Setup
+
+extern const u32 * cim_verb_data;
+extern u32 cim_verb_data_size;
+extern const u32 * pc_beep_verbs;
+extern u32 pc_beep_verbs_size;
+
+static void verb_setup(void)
+{
+	cim_verb_data = mainboard_cim_verb_data;
+	cim_verb_data_size = sizeof(mainboard_cim_verb_data);
+	pc_beep_verbs = mainboard_pc_beep_verbs;
+	pc_beep_verbs_size = mainboard_pc_beep_verbs_size;
+}
+*/
+
+static void mainboard_init(device_t dev)
+{
+	struct device *ethernet_dev = NULL;
+
+	/*
+	 * Battery life time - LAN PCIe should enter ASPM L1 to save
+	 * power when LAN connection is idle.
+	 * enable CLKREQ: LAN pci config space 0x81h=01
+	 */
+	ethernet_dev = dev_find_device(GAB75MD3V_NIC_VENDOR_ID,
+					GAB75MD3V_NIC_DEVICE_ID, dev);
+
+	if (ethernet_dev != NULL)
+		pci_write_config8(ethernet_dev, 0x81, 0x01);
+}
+
+// mainboard_enable is executed as first thing after
+// enumerate_buses().
+
+static void mainboard_enable(device_t dev)
+{
+	dev->ops->init = mainboard_init;
+#if CONFIG_PCI_OPTION_ROM_RUN_YABEL || CONFIG_PCI_OPTION_ROM_RUN_REALMODE
+	/* Install custom int15 handler for VGA OPROM */
+	int15_install();
+#endif
+//XXX audio	verb_setup();
+}
+
+struct chip_operations mainboard_ops = {
+	.enable_dev = mainboard_enable,
+};
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/mainboard_smi.c b/src/mainboard/gigabyte/ga-b75m-d3h/mainboard_smi.c
new file mode 100644
index 0000000..6bb5632
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/mainboard_smi.c
@@ -0,0 +1,109 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2008-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <arch/io.h>
+#include <console/console.h>
+#include <cpu/x86/smm.h>
+#include <southbridge/intel/bd82x6x/nvs.h>
+#include <southbridge/intel/bd82x6x/pch.h>
+#include <southbridge/intel/bd82x6x/me.h>
+#include <northbridge/intel/sandybridge/sandybridge.h>
+#include <cpu/intel/model_206ax/model_206ax.h>
+
+/* Include romstage serial for SIO helper functions */
+//#include <superio/ite/it8728f/early_serial.c>
+
+int mainboard_io_trap_handler(int smif)
+{
+	switch (smif) {
+	case 0x99:
+		printk(BIOS_DEBUG, "Sample\n");
+		smm_get_gnvs()->smif = 0;
+		break;
+	default:
+		return 0;
+	}
+
+	/* On success, the IO Trap Handler returns 0
+	 * On failure, the IO Trap Handler returns a value != 0
+	 *
+	 * For now, we force the return value to 0 and log all traps to
+	 * see what's going on.
+	 */
+	//gnvs->smif = 0;
+	return 1;
+}
+
+static u8 mainboard_smi_ec(void)
+{
+	return 0;
+}
+
+void mainboard_smi_gpi(u16 gpi_sts)
+{
+	if (gpi_sts & (1 << 0)) {
+		/* Process all pending events */
+		while (mainboard_smi_ec() != 0);
+	}
+}
+
+void mainboard_smi_sleep(u8 slp_typ)
+{
+	/*
+	 * If USB charging in suspend is disabled then also disable
+	 * the XHCI PME to prevent wake when the port power is cut
+	 * after the transition into suspend.
+	 */
+	if (smm_get_gnvs()->xhci) {
+		u32 reg32 = pcie_read_config32(PCH_XHCI_DEV, 0x74);
+		reg32 &= ~(1 << 8); /* disable PME */
+		reg32 |= (1 << 15); /* clear PME status */
+		pcie_write_config32(PCH_XHCI_DEV, 0x74, reg32);
+	}
+}
+
+#define APMC_FINALIZE 0xcb
+#define APMC_ACPI_EN  0xe1
+#define APMC_ACPI_DIS 0x1e
+
+static int mainboard_finalized = 0;
+
+int mainboard_smi_apmc(u8 apmc)
+{
+	switch (apmc) {
+	case APMC_FINALIZE:
+		if (mainboard_finalized) {
+			printk(BIOS_DEBUG, "SMI#: Already finalized\n");
+			return 0;
+		}
+	printk(BIOS_DEBUG, "SMI#: finalize\n");
+		intel_me_finalize_smm();
+		intel_pch_finalize_smm();
+		intel_sandybridge_finalize_smm();
+		intel_model_206ax_finalize_smm();
+
+		mainboard_finalized = 1;
+		break;
+	case APMC_ACPI_EN:
+		break;
+	case APMC_ACPI_DIS:
+		break;
+	}
+	return 0;
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/onboard.h b/src/mainboard/gigabyte/ga-b75m-d3h/onboard.h
new file mode 100644
index 0000000..0580945
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/onboard.h
@@ -0,0 +1,33 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011-2012 The ChromiumOS Authors.  All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#ifndef GAB75MD3V_ONBOARD_H
+#define GAB75MD3V_ONBOARD_H
+
+#include <arch/smp/mpspec.h>
+
+#define GAB75MD3V_NIC_VENDOR_ID		0x10EC
+#define GAB75MD3V_NIC_DEVICE_ID		0x8168
+
+#define XHCI_MODE     2  // Auto
+#define XHCI_PORTS    3  // Port 0 & 1
+#define XHCI_PREBOOT  0  // No PreOS boot support
+#define XHCI_STREAMS  1  // Sure, lets have streams
+
+#endif
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/romstage.c b/src/mainboard/gigabyte/ga-b75m-d3h/romstage.c
new file mode 100644
index 0000000..891e1bb
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/romstage.c
@@ -0,0 +1,245 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2010 coresystems GmbH
+ * Copyright (C) 2014 Damien Zammit <damien at zamaudio.com>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#define SUPERIO_BASE 0x2e
+#define SUPERIO_DEV PNP_DEV(SUPERIO_BASE, 0)
+#define SUPERIO_GPIO PNP_DEV(SUPERIO_BASE, IT8728F_GPIO)
+#define SERIAL_DEV PNP_DEV(SUPERIO_BASE, 0x01)
+
+#include <stdint.h>
+#include <string.h>
+#include <lib.h>
+#include <timestamp.h>
+#include <arch/early_variables.h>
+#include <arch/byteorder.h>
+#include <arch/io.h>
+#include <device/pci_def.h>
+#include <device/pnp_def.h>
+#include <cpu/x86/lapic.h>
+#include <pc80/mc146818rtc.h>
+#include <cbmem.h>
+#include <console/console.h>
+#include <delay.h>
+#include <superio/ite/it8728f/it8728f.h>
+#include "southbridge/intel/bd82x6x/me.h"
+#include "southbridge/intel/bd82x6x/pch.h"
+#include "southbridge/intel/bd82x6x/gpio.h"
+#include "northbridge/intel/sandyivy-native/sandybridge.h"
+#include "northbridge/intel/sandyivy-native/raminit.h"
+#include <arch/cpu.h>
+#include <cpu/x86/bist.h>
+#include <cpu/x86/msr.h>
+#include "gpio.h"
+#include <cbfs.h>
+#include "onboard.h"
+
+/*
+ * Mainboard specific superio IT8728F settings
+ */
+static void it8728f_b75md3v_conf(device_t dev)
+{
+	it8728f_reg_write(dev, 0x25, 0x40); // gpio pin function -> gp16
+	it8728f_reg_write(dev, 0x27, 0x10); // gpio pin function -> gp34
+	it8728f_reg_write(dev, 0x2c, 0x80); // smbus isolation on parallel port
+	it8728f_reg_write(dev, 0x62, 0x0a); // simple iobase 0xa00
+	it8728f_reg_write(dev, 0x73, 0x00); // watchdog timeout clear!
+	it8728f_reg_write(dev, 0xcb, 0x00); // simple io set4 direction -> in
+	it8728f_reg_write(dev, 0xe9, 0x21); // bus select disable
+	it8728f_reg_write(dev, 0xf6, 0x1c); // hardware monitor alert beep -> gp36(pin12)
+}
+
+static void it8728f_b75md3v_disable_reboot(device_t dev)
+{
+	it8728f_reg_write(dev, 0xEF, 0x7E);
+}
+
+static void pch_enable_lpc(void)
+{
+	/*
+	 * Enable:
+	 *  EC Decode Range PortA30/A20
+	 *  SuperIO Port2E/2F
+	 *  PS/2 Keyboard/Mouse Port60/64
+	 *  FDD Port3F0h-3F5h and Port3F7h
+	 */
+	pci_write_config16(PCH_LPC_DEV, LPC_EN, KBC_LPC_EN | MC_LPC_EN |
+			CNF1_LPC_EN | CNF2_LPC_EN | COMA_LPC_EN);
+}
+
+void main(unsigned long bist)
+{
+	int boot_mode = 0;
+	u32 pm1_cnt;
+	u16 pm1_sts;
+
+#if CONFIG_COLLECT_TIMESTAMPS
+	tsc_t start_romstage_time;
+	tsc_t before_dram_time;
+	tsc_t after_dram_time;
+	tsc_t base_time = {
+		.lo = pci_read_config32(PCI_DEV(0, 0x00, 0), 0xdc),
+		.hi = pci_read_config32(PCI_DEV(0, 0x1f, 2), 0xd0)
+	};
+#endif
+#if CONFIG_COLLECT_TIMESTAMPS
+	start_romstage_time = rdtsc();
+#endif
+
+	if (bist == 0)
+		enable_lapic();
+
+	pch_enable_lpc();
+
+	/* Initialize SuperIO */
+	it8728f_enable_serial(SERIAL_DEV, CONFIG_TTYS0_BASE);
+	it8728f_b75md3v_disable_reboot(SUPERIO_GPIO);
+
+	/* Initialize console device(s) */
+	console_init();
+	printk(BIOS_EMERG, "START:\n");
+
+	/* Finish setting up SuperIO */
+	it8728f_b75md3v_conf(PNP_DEV(SUPERIO_BASE, IT8728F_GPIO));
+
+	printk(BIOS_EMERG, "Fixed SuperIO\n");
+
+	/* Halt if there was a built in self test failure */
+	report_bist_failure(bist);
+
+	printk(BIOS_EMERG, "BIST was ok\n");
+
+	if (MCHBAR16(SSKPD) == 0xCAFE) {
+                printk(BIOS_EMERG, "soft reset detected\n");
+                boot_mode = 1;
+
+                /* System is not happy after keyboard reset... */
+                printk(BIOS_EMERG, "Issuing CF9 warm reset\n");
+                outb(0x6, 0xcf9);
+                hlt();
+        }
+
+	printk(BIOS_EMERG, "CAFE passed\n");
+
+	/* Perform some early chipset initialization required
+	 * before RAM initialization can work
+	 */
+	sandybridge_early_initialization(SANDYBRIDGE_DESKTOP);
+	printk(BIOS_DEBUG, "Back from sandybridge_early_initialization()\n");
+
+	/* Check PM1_STS[15] to see if we are waking from Sx */
+	pm1_sts = inw(DEFAULT_PMBASE + PM1_STS);
+
+	/* Read PM1_CNT[12:10] to determine which Sx state */
+	pm1_cnt = inl(DEFAULT_PMBASE + PM1_CNT);
+
+
+	post_code(0x38);
+	/* Enable SPD ROMs and DDR-III DRAM */
+	enable_smbus();
+
+	/* Prepare USB controller early in S3 resume */
+	if (boot_mode == 2)
+		enable_usb_bar();
+
+	post_code(0x39);
+
+	post_code(0x3a);
+
+	///* Enable PCH GPIOs */
+	//pci_write_config32(PCH_LPC_DEV, GPIO_BASE, DEFAULT_GPIOBASE|1);
+	//pci_write_config8(PCH_LPC_DEV, GPIO_CNTL, 0x10);
+	//setup_pch_gpios(&b75md3v_gpio_map);
+
+	//printk(BIOS_EMERG, "Setup PCH GPIOs successful\n");
+
+#if CONFIG_COLLECT_TIMESTAMPS
+	before_dram_time = rdtsc();
+#endif
+
+	intel_early_me_uma_size();
+	intel_early_me_init();
+
+	printk(BIOS_EMERG, "Custom memory init...\n");
+
+	dimm_layout dimms = { {0x50, 0x51, 0x52, 0x53} };
+	init_dram_ddr3(&dimms);
+
+	printk(BIOS_EMERG, "Back from custom memory init...\n");
+
+	intel_early_me_init_done(0);
+
+#if CONFIG_COLLECT_TIMESTAMPS
+	after_dram_time = rdtsc();
+#endif
+	post_code(0x3b);
+
+	post_code(0x3c);
+
+	post_code(0x3d);
+
+	ram_check_nodie(0xf000000, 0xf010000);
+	post_code(0x3e);
+
+	MCHBAR16(SSKPD) = 0xCAFE;
+#if CONFIG_EARLY_CBMEM_INIT
+	cbmem_was_initted = !cbmem_initialize();
+#else
+////	cbmem_was_initted = cbmem_reinit((uint64_t) (get_top_of_ram()
+////						     - HIGH_MEMORY_SIZE));
+#endif
+
+#if CONFIG_HAVE_ACPI_RESUME
+	/* If there is no high memory area, we didn't boot before, so
+	 * this is not a resume. In that case we just create the cbmem toc.
+	 */
+
+	*(u32 *)CBMEM_BOOT_MODE = 0;
+	*(u32 *)CBMEM_RESUME_BACKUP = 0;
+
+	if ((boot_mode == 2) && cbmem_was_initted) {
+		void *resume_backup_memory = cbmem_find(CBMEM_ID_RESUME);
+		if (resume_backup_memory) {
+			*(u32 *)CBMEM_BOOT_MODE = boot_mode;
+			*(u32 *)CBMEM_RESUME_BACKUP = (u32)resume_backup_memory;
+		}
+		/* Magic for S3 resume */
+		pci_write_config32(PCI_DEV(0, 0x00, 0), SKPAD, 0xcafed00d);
+	} else if (boot_mode == 2) {
+		/* Failed S3 resume, reset to come up cleanly */
+		outb(0x6, 0xcf9);
+		hlt();
+	} else {
+		pci_write_config32(PCI_DEV(0, 0x00, 0), SKPAD, 0xcafebabe);
+	}
+#endif
+	post_code(0x3f);
+	printk(BIOS_EMERG, "NICEEE!");
+#if CONFIG_COLLECT_TIMESTAMPS
+	timestamp_init(base_time);
+	timestamp_add(TS_START_ROMSTAGE, start_romstage_time );
+	timestamp_add(TS_BEFORE_INITRAM, before_dram_time );
+	timestamp_add(TS_AFTER_INITRAM, after_dram_time );
+	timestamp_add_now(TS_END_ROMSTAGE);
+#endif
+#if CONFIG_CONSOLE_CBMEM
+	/* Keep this the last thing this function does. */
+	cbmemc_reinit();
+#endif
+}
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/smbus_hack.h b/src/mainboard/gigabyte/ga-b75m-d3h/smbus_hack.h
new file mode 100644
index 0000000..b256ff0
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/smbus_hack.h
@@ -0,0 +1,15 @@
+#ifndef SMBUS_HACK_H
+#define SMBUS_HACK_H
+
+#include <arch/io.h>
+#include <console/console.h>
+#include <device/pci_ids.h>
+#include <device/pci_def.h>
+#include "southbridge/intel/bd82x6x/pch.h"
+#include "spd.h"
+#include "device/dram/ddr3.h"
+
+void spd_read(u8 addr, spd_raw_data spd);
+int spd_get_length(u8 spd_byte0);
+
+#endif
diff --git a/src/mainboard/gigabyte/ga-b75m-d3h/thermal.h b/src/mainboard/gigabyte/ga-b75m-d3h/thermal.h
new file mode 100644
index 0000000..37e8acf
--- /dev/null
+++ b/src/mainboard/gigabyte/ga-b75m-d3h/thermal.h
@@ -0,0 +1,15 @@
+#ifndef GAB75MD3V_THERMAL_H
+#define GAB75MD3V_THERMAL_H
+
+/* Active Thermal and fans are controlled by the EC (?) */
+
+/* Temperature which OS will shutdown at */
+#define CRITICAL_TEMPERATURE    99
+
+/* Temperature which OS will throttle CPU */
+#define PASSIVE_TEMPERATURE     90
+
+/* Tj_max value for calculating PECI CPU temperature */
+#define MAX_TEMPERATURE        100
+
+#endif /* GAB75MD3V_THERMAL_H */
diff --git a/src/northbridge/intel/Kconfig b/src/northbridge/intel/Kconfig
index b9ee5c6..3713eef 100644
--- a/src/northbridge/intel/Kconfig
+++ b/src/northbridge/intel/Kconfig
@@ -14,5 +14,6 @@ source src/northbridge/intel/sch/Kconfig
 source src/northbridge/intel/i5000/Kconfig
 source src/northbridge/intel/nehalem/Kconfig
 source src/northbridge/intel/sandybridge/Kconfig
+source src/northbridge/intel/sandyivy-native/Kconfig
 source src/northbridge/intel/haswell/Kconfig
 source src/northbridge/intel/fsp_sandybridge/Kconfig
diff --git a/src/northbridge/intel/Makefile.inc b/src/northbridge/intel/Makefile.inc
index 808a1b2..43ceb3d 100644
--- a/src/northbridge/intel/Makefile.inc
+++ b/src/northbridge/intel/Makefile.inc
@@ -14,6 +14,7 @@ subdirs-$(CONFIG_NORTHBRIDGE_INTEL_SCH) += sch
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_I5000) += i5000
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_NEHALEM) += nehalem
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_SANDYBRIDGE) += sandybridge
+subdirs-$(CONFIG_NORTHBRIDGE_INTEL_SANDYIVY_NATIVE) += sandyivy-native
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_IVYBRIDGE) += sandybridge
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_HASWELL) += haswell
 subdirs-$(CONFIG_NORTHBRIDGE_INTEL_FSP_SANDYBRIDGE) += fsp_sandybridge
diff --git a/src/northbridge/intel/sandybridge/early_init.c b/src/northbridge/intel/sandybridge/early_init.c
index d688f5b..6319f7f 100644
--- a/src/northbridge/intel/sandybridge/early_init.c
+++ b/src/northbridge/intel/sandybridge/early_init.c
@@ -94,10 +94,12 @@ static void sandybridge_setup_graphics(void)
 	case 0x0122: /* GT2 Desktop >=1.3GHz */
 	case 0x0126: /* GT2 Mobile >=1.3GHz */
 	case 0x0156: /* IvyBridge */
+	case 0x0162: /* IvyBridge */
 	case 0x0166: /* IvyBridge */
+	case 0xFFFF: /* cant read device id, continue anyway */
 		break;
 	default:
-		printk(BIOS_DEBUG, "Graphics not supported by this CPU/chipset.\n");
+		printk(BIOS_DEBUG, "Graphics '%04X' not supported by this CPU/chipset.\n", reg16);
 		return;
 	}
 
diff --git a/src/northbridge/intel/sandyivy-native/Kconfig b/src/northbridge/intel/sandyivy-native/Kconfig
new file mode 100644
index 0000000..52b3da4
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/Kconfig
@@ -0,0 +1,52 @@
+##
+## This file is part of the coreboot project.
+##
+## Copyright (C) 2014  Damien Zammit <damien at zamaudio.com>
+##
+## This program is free software; you can redistribute it and/or modify
+## it under the terms of the GNU General Public License as published by
+## the Free Software Foundation; version 2 of the License.
+##
+## This program is distributed in the hope that it will be useful,
+## but WITHOUT ANY WARRANTY; without even the implied warranty of
+## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+## GNU General Public License for more details.
+##
+## You should have received a copy of the GNU General Public License
+## along with this program; if not, write to the Free Software
+## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+##
+
+config NORTHBRIDGE_INTEL_SANDYIVY_NATIVE
+	bool
+	select MMCONF_SUPPORT
+	select MMCONF_SUPPORT_DEFAULT
+	select CPU_INTEL_MODEL_306AX
+
+config VGA_BIOS_ID
+	string
+	default "8086,0162"
+
+config DCACHE_RAM_BASE
+	hex
+	default 0xff7e0000
+
+config DCACHE_RAM_SIZE
+	hex
+	default 0x20000
+
+config CBFS_SIZE
+	hex "Size of CBFS filesystem in ROM"
+	default 0x100000
+	help
+	  On Sandybridge and Ivybridge systems the firmware image has to
+	  store a lot more than just coreboot, including:
+	   - a firmware descriptor
+	   - Intel Management Engine firmware
+	  This option allows to limit the size of the CBFS portion in the
+	  firmware image.
+
+config BOOTBLOCK_NORTHBRIDGE_INIT
+	string
+	default "northbridge/intel/sandyivy-native/bootblock.c"
+
diff --git a/src/northbridge/intel/sandyivy-native/Makefile.inc b/src/northbridge/intel/sandyivy-native/Makefile.inc
new file mode 100644
index 0000000..3076822
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/Makefile.inc
@@ -0,0 +1,37 @@
+#
+# This file is part of the coreboot project.
+#
+# Copyright (C) 2010 Google Inc.
+# Copyright (C) 2014 Damien Zammit <damien at zamaudio.com>
+#
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; version 2 of the License.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+#
+
+ramstage-y += ram_calc.c
+ramstage-y += northbridge.c
+ramstage-y += gma.c
+
+ramstage-$(CONFIG_GENERATE_ACPI_TABLES) += acpi.c
+
+romstage-y += ram_calc.c
+romstage-y += raminit.c
+romstage-y += early_smbus.c
+romstage-y += early_init.c
+romstage-y += report_platform.c
+romstage-y += ../../../arch/x86/lib/walkcbfs.S
+
+smm-$(CONFIG_HAVE_SMI_HANDLER) += udelay.c
+smm-$(CONFIG_HAVE_SMI_HANDLER) += finalize.c
+
+$(obj)/northbridge/intel/sandyivy-native/acpi.ramstage.o : $(obj)/build.h
diff --git a/src/northbridge/intel/sandyivy-native/acpi.c b/src/northbridge/intel/sandyivy-native/acpi.c
new file mode 100644
index 0000000..0a179ca
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/acpi.c
@@ -0,0 +1,202 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ * Copyright (C) 2012 The Chromium OS Authors
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston,
+ * MA 02110-1301 USA
+ */
+
+#include <types.h>
+#include <string.h>
+#include <console/console.h>
+#include <arch/io.h>
+#include <arch/acpi.h>
+#include <device/device.h>
+#include <device/pci.h>
+#include <device/pci_ids.h>
+#include <build.h>
+#include "sandybridge.h"
+
+unsigned long acpi_fill_mcfg(unsigned long current)
+{
+	device_t dev;
+	u32 pciexbar = 0;
+	u32 pciexbar_reg;
+	int max_buses;
+
+	dev = dev_find_device(PCI_VENDOR_ID_INTEL, PCI_DEVICE_ID_SB, 0);
+	if (!dev)
+		dev = dev_find_device(PCI_VENDOR_ID_INTEL, PCI_DEVICE_ID_IB, 0);
+	if (!dev)
+		return current;
+
+	pciexbar_reg=pci_read_config32(dev, PCIEXBAR);
+
+	// MMCFG not supported or not enabled.
+	if (!(pciexbar_reg & (1 << 0)))
+		return current;
+
+	switch ((pciexbar_reg >> 1) & 3) {
+	case 0: // 256MB
+		pciexbar = pciexbar_reg & ((1 << 31)|(1 << 30)|(1 << 29)|(1 << 28));
+		max_buses = 256;
+		break;
+	case 1: // 128M
+		pciexbar = pciexbar_reg & ((1 << 31)|(1 << 30)|(1 << 29)|(1 << 28)|(1 << 27));
+		max_buses = 128;
+		break;
+	case 2: // 64M
+		pciexbar = pciexbar_reg & ((1 << 31)|(1 << 30)|(1 << 29)|(1 << 28)|(1 << 27)|(1 << 26));
+		max_buses = 64;
+		break;
+	default: // RSVD
+		return current;
+	}
+
+	if (!pciexbar)
+		return current;
+
+	current += acpi_create_mcfg_mmconfig((acpi_mcfg_mmconfig_t *) current,
+			pciexbar, 0x0, 0x0, max_buses - 1);
+
+	return current;
+}
+
+static void *get_intel_vbios(void)
+{
+	/* This should probably be looking at CBFS or we should always
+	 * deploy the VBIOS on Intel systems, even if we don't run it
+	 * in coreboot (e.g. SeaBIOS only scenarios).
+	 */
+	u8 *vbios = (u8 *)0xc0000;
+
+	optionrom_header_t *oprom = (optionrom_header_t *)vbios;
+	optionrom_pcir_t *pcir = (optionrom_pcir_t *)(vbios +
+						oprom->pcir_offset);
+
+
+	printk(BIOS_DEBUG, "GET_VBIOS: %x %x %x %x %x\n",
+		oprom->signature, pcir->vendor, pcir->classcode[0],
+		pcir->classcode[1], pcir->classcode[2]);
+
+
+	if ((oprom->signature == OPROM_SIGNATURE) &&
+		(pcir->vendor == PCI_VENDOR_ID_INTEL) &&
+		(pcir->classcode[0] == 0x00) &&
+		(pcir->classcode[1] == 0x00) &&
+		(pcir->classcode[2] == 0x03))
+		return (void *)vbios;
+
+	return NULL;
+}
+
+static int init_opregion_vbt(igd_opregion_t *opregion)
+{
+	void *vbios;
+	vbios = get_intel_vbios();
+	if (!vbios) {
+		printk(BIOS_DEBUG, "VBIOS not found.\n");
+		return 1;
+	}
+
+	printk(BIOS_DEBUG, " ... VBIOS found at %p\n", vbios);
+	optionrom_header_t *oprom = (optionrom_header_t *)vbios;
+	optionrom_vbt_t *vbt = (optionrom_vbt_t *)(vbios +
+						oprom->vbt_offset);
+
+	if (read32((unsigned long)vbt->hdr_signature) != VBT_SIGNATURE) {
+		printk(BIOS_DEBUG, "VBT not found!\n");
+		return 1;
+	}
+
+	memcpy(opregion->header.vbios_version, vbt->coreblock_biosbuild, 4);
+	memcpy(opregion->vbt.gvd1, vbt, vbt->hdr_vbt_size < 7168 ?
+						vbt->hdr_vbt_size : 7168);
+
+	return 0;
+}
+
+
+/* Initialize IGD OpRegion, called from ACPI code */
+int init_igd_opregion(igd_opregion_t *opregion)
+{
+	device_t igd;
+	u16 reg16;
+
+	memset((void *)opregion, 0, sizeof(igd_opregion_t));
+
+	// FIXME if IGD is disabled, we should exit here.
+
+	memcpy(&opregion->header.signature, IGD_OPREGION_SIGNATURE,
+		sizeof(IGD_OPREGION_SIGNATURE));
+
+	/* 8kb */
+	opregion->header.size = sizeof(igd_opregion_t) / 1024;
+	opregion->header.version = IGD_OPREGION_VERSION;
+
+	// FIXME We just assume we're mobile for now
+	opregion->header.mailboxes = MAILBOXES_MOBILE;
+
+	// TODO Initialize Mailbox 1
+
+	// TODO Initialize Mailbox 3
+	opregion->mailbox3.bclp = IGD_BACKLIGHT_BRIGHTNESS;
+	opregion->mailbox3.pfit = IGD_FIELD_VALID | IGD_PFIT_STRETCH;
+	opregion->mailbox3.pcft = 0; // should be (IMON << 1) & 0x3e
+	opregion->mailbox3.cblv = IGD_FIELD_VALID | IGD_INITIAL_BRIGHTNESS;
+	opregion->mailbox3.bclm[0] = IGD_WORD_FIELD_VALID + 0x0000;
+	opregion->mailbox3.bclm[1] = IGD_WORD_FIELD_VALID + 0x0a19;
+	opregion->mailbox3.bclm[2] = IGD_WORD_FIELD_VALID + 0x1433;
+	opregion->mailbox3.bclm[3] = IGD_WORD_FIELD_VALID + 0x1e4c;
+	opregion->mailbox3.bclm[4] = IGD_WORD_FIELD_VALID + 0x2866;
+	opregion->mailbox3.bclm[5] = IGD_WORD_FIELD_VALID + 0x327f;
+	opregion->mailbox3.bclm[6] = IGD_WORD_FIELD_VALID + 0x3c99;
+	opregion->mailbox3.bclm[7] = IGD_WORD_FIELD_VALID + 0x46b2;
+	opregion->mailbox3.bclm[8] = IGD_WORD_FIELD_VALID + 0x50cc;
+	opregion->mailbox3.bclm[9] = IGD_WORD_FIELD_VALID + 0x5ae5;
+	opregion->mailbox3.bclm[10] = IGD_WORD_FIELD_VALID + 0x64ff;
+
+	init_opregion_vbt(opregion);
+
+	/* TODO This needs to happen in S3 resume, too.
+	 * Maybe it should move to the finalize handler
+	 */
+	igd = dev_find_slot(0, PCI_DEVFN(0x2, 0));
+
+	pci_write_config32(igd, ASLS, (u32)opregion);
+	reg16 = pci_read_config16(igd, SWSCI);
+	reg16 &= ~(1 << 0);
+	reg16 |= (1 << 15);
+	pci_write_config16(igd, SWSCI, reg16);
+
+	/* clear dmisci status */
+	reg16 = inw(DEFAULT_PMBASE + TCO1_STS);
+	reg16 |= DMISCI_STS; // reference code does an &=
+	outw(DEFAULT_PMBASE + TCO1_STS, reg16);
+
+	/* clear acpi tco status */
+	outl(DEFAULT_PMBASE + GPE0_STS, TCOSCI_STS);
+
+	/* enable acpi tco scis */
+	reg16 = inw(DEFAULT_PMBASE + GPE0_EN);
+	reg16 |= TCOSCI_EN;
+	outw(DEFAULT_PMBASE + GPE0_EN, reg16);
+
+	return 0;
+}
+
+
diff --git a/src/northbridge/intel/sandyivy-native/acpi/hostbridge.asl b/src/northbridge/intel/sandyivy-native/acpi/hostbridge.asl
new file mode 100644
index 0000000..93db98d
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/acpi/hostbridge.asl
@@ -0,0 +1,385 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston,
+ * MA 02110-1301 USA
+ */
+
+
+Name(_HID,EISAID("PNP0A08"))	// PCIe
+Name(_CID,EISAID("PNP0A03"))	// PCI
+
+Name(_ADR, 0)
+Name(_BBN, 0)
+
+Device (MCHC)
+{
+	Name(_ADR, 0x00000000)	// 0:0.0
+
+	OperationRegion(MCHP, PCI_Config, 0x00, 0x100)
+	Field (MCHP, DWordAcc, NoLock, Preserve)
+	{
+		Offset (0x40),	// EPBAR
+		EPEN,	 1,	// Enable
+		,	11,	//
+		EPBR,	24,	// EPBAR
+
+		Offset (0x48),	// MCHBAR
+		MHEN,	 1,	// Enable
+		,	13,	//
+		MHBR,	22,	// MCHBAR
+
+		Offset (0x60),	// PCIe BAR
+		PXEN,	 1,	// Enable
+		PXSZ,	 2,	// BAR size
+		,	23,	//
+		PXBR,	10,	// PCIe BAR
+
+		Offset (0x68),	// DMIBAR
+		DMEN,	 1,	// Enable
+		,	11,	//
+		DMBR,	24,	// DMIBAR
+
+		Offset (0x70),	// ME Base Address
+		MEBA,	 64,
+
+		// ...
+
+		Offset (0x80),	// PAM0
+		,	 4,
+		PM0H,	 2,
+		,	 2,
+		Offset (0x81),	// PAM1
+		PM1L,	 2,
+		,	 2,
+		PM1H,	 2,
+		,	 2,
+		Offset (0x82),	// PAM2
+		PM2L,	 2,
+		,	 2,
+		PM2H,	 2,
+		,	 2,
+		Offset (0x83),	// PAM3
+		PM3L,	 2,
+		,	 2,
+		PM3H,	 2,
+		,	 2,
+		Offset (0x84),	// PAM4
+		PM4L,	 2,
+		,	 2,
+		PM4H,	 2,
+		,	 2,
+		Offset (0x85),	// PAM5
+		PM5L,	 2,
+		,	 2,
+		PM5H,	 2,
+		,	 2,
+		Offset (0x86),	// PAM6
+		PM6L,	 2,
+		,	 2,
+		PM6H,	 2,
+		,	 2,
+
+		Offset (0xa0),	// Top of Used Memory
+		TOM,	 64,
+
+		Offset (0xbc),	// Top of Low Used Memory
+		TLUD,	 32,
+	}
+
+	Mutex (CTCM, 1)		/* CTDP Switch Mutex (sync level 1) */
+	Name (CTCC, 0)		/* CTDP Current Selection */
+	Name (CTCN, 0)		/* CTDP Nominal Select */
+	Name (CTCD, 1)		/* CTDP Down Select */
+	Name (CTCU, 2)		/* CTDP Up Select */
+
+	OperationRegion (MCHB, SystemMemory, DEFAULT_MCHBAR, 0x8000)
+	Field (MCHB, DWordAcc, Lock, Preserve)
+	{
+		Offset (0x5930),
+		CTDN, 15,	/* CTDP Nominal PL1 */
+		Offset (0x59a0),
+		PL1V, 15,	/* Power Limit 1 Value */
+		PL1E, 1,	/* Power Limit 1 Enable */
+		PL1C, 1,	/* Power Limit 1 Clamp */
+		PL1T, 7,	/* Power Limit 1 Time */
+		Offset (0x59a4),
+		PL2V, 15,	/* Power Limit 2 Value */
+		PL2E, 1,	/* Power Limit 2 Enable */
+		PL2C, 1,	/* Power Limit 2 Clamp */
+		PL2T, 7,	/* Power Limit 2 Time */
+		Offset (0x5f3c),
+		TARN, 8,	/* CTDP Nominal Turbo Activation Ratio */
+		Offset (0x5f40),
+		CTDD, 15,	/* CTDP Down PL1 */
+		, 1,
+		TARD, 8,	/* CTDP Down Turbo Activation Ratio */
+		Offset (0x5f48),
+		CTDU, 15,	/* CTDP Up PL1 */
+		, 1,
+		TARU, 8,	/* CTDP Up Turbo Activation Ratio */
+		Offset (0x5f50),
+		CTCS, 2,	/* CTDP Select */
+		Offset (0x5f54),
+		TARS, 8,	/* Turbo Activation Ratio Select */
+	}
+
+	/*
+	 * Search CPU0 _PSS looking for control=arg0 and then
+	 * return previous P-state entry number for new _PPC
+	 *
+	 * Format of _PSS:
+	 *   Name (_PSS, Package () {
+	 *     Package (6) { freq, power, tlat, blat, control, status }
+	 *   }
+	 */
+	External (\_PR.CPU0._PSS)
+	Method (PSSS, 1, NotSerialized)
+	{
+		Store (One, Local0) /* Start at P1 */
+		Store (SizeOf (\_PR.CPU0._PSS), Local1)
+
+		While (LLess (Local0, Local1)) {
+			/* Store _PSS entry Control value to Local2 */
+			ShiftRight (DeRefOf (Index (DeRefOf (Index
+			      (\_PR.CPU0._PSS, Local0)), 4)), 8, Local2)
+			If (LEqual (Local2, Arg0)) {
+				Return (Subtract (Local0, 1))
+			}
+			Increment (Local0)
+		}
+
+		Return (0)
+	}
+
+	/* Set TDP Down */
+	Method (STND, 0, Serialized)
+	{
+		If (Acquire (CTCM, 100)) {
+			Return (0)
+		}
+		If (LEqual (CTCD, CTCC)) {
+			Release (CTCM)
+			Return (0)
+		}
+
+		Store ("Set TDP Down", Debug)
+
+		/* Set CTC */
+		Store (CTCD, CTCS)
+
+		/* Set TAR */
+		Store (TARD, TARS)
+
+		/* Set PPC limit and notify OS */
+		Store (PSSS (TARD), PPCM)
+		PPCN ()
+
+		/* Set PL2 to 1.25 * PL1 */
+		Divide (Multiply (CTDD, 125), 100, Local0, PL2V)
+
+		/* Set PL1 */
+		Store (CTDD, PL1V)
+
+		/* Store the new TDP Down setting */
+		Store (CTCD, CTCC)
+
+		Release (CTCM)
+		Return (1)
+	}
+
+	/* Set TDP Nominal from Down */
+	Method (STDN, 0, Serialized)
+	{
+		If (Acquire (CTCM, 100)) {
+			Return (0)
+		}
+		If (LEqual (CTCN, CTCC)) {
+			Release (CTCM)
+			Return (0)
+		}
+
+		Store ("Set TDP Nominal", Debug)
+
+		/* Set PL1 */
+		Store (CTDN, PL1V)
+
+		/* Set PL2 to 1.25 * PL1 */
+		Divide (Multiply (CTDN, 125), 100, Local0, PL2V)
+
+		/* Set PPC limit and notify OS */
+		Store (PSSS (TARN), PPCM)
+		PPCN ()
+
+		/* Set TAR */
+		Store (TARN, TARS)
+
+		/* Set CTC */
+		Store (CTCN, CTCS)
+
+		/* Store the new TDP Nominal setting */
+		Store (CTCN, CTCC)
+
+		Release (CTCM)
+		Return (1)
+	}
+}
+
+// Current Resource Settings
+
+Method (_CRS, 0, Serialized)
+{
+	Name (MCRS, ResourceTemplate()
+	{
+		// Bus Numbers
+		WordBusNumber (ResourceProducer, MinFixed, MaxFixed, PosDecode,
+				0x0000, 0x0000, 0x00ff, 0x0000, 0x0100,,, PB00)
+
+		// IO Region 0
+		DWordIO (ResourceProducer, MinFixed, MaxFixed, PosDecode, EntireRange,
+				0x0000, 0x0000, 0x0cf7, 0x0000, 0x0cf8,,, PI00)
+
+		// PCI Config Space
+		Io (Decode16, 0x0cf8, 0x0cf8, 0x0001, 0x0008)
+
+		// IO Region 1
+		DWordIO (ResourceProducer, MinFixed, MaxFixed, PosDecode, EntireRange,
+				0x0000, 0x0d00, 0xffff, 0x0000, 0xf300,,, PI01)
+
+		// VGA memory (0xa0000-0xbffff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000a0000, 0x000bffff, 0x00000000,
+				0x00020000,,, ASEG)
+
+		// OPROM reserved (0xc0000-0xc3fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000c0000, 0x000c3fff, 0x00000000,
+				0x00004000,,, OPR0)
+
+		// OPROM reserved (0xc4000-0xc7fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000c4000, 0x000c7fff, 0x00000000,
+				0x00004000,,, OPR1)
+
+		// OPROM reserved (0xc8000-0xcbfff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000c8000, 0x000cbfff, 0x00000000,
+				0x00004000,,, OPR2)
+
+		// OPROM reserved (0xcc000-0xcffff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000cc000, 0x000cffff, 0x00000000,
+				0x00004000,,, OPR3)
+
+		// OPROM reserved (0xd0000-0xd3fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000d0000, 0x000d3fff, 0x00000000,
+				0x00004000,,, OPR4)
+
+		// OPROM reserved (0xd4000-0xd7fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000d4000, 0x000d7fff, 0x00000000,
+				0x00004000,,, OPR5)
+
+		// OPROM reserved (0xd8000-0xdbfff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000d8000, 0x000dbfff, 0x00000000,
+				0x00004000,,, OPR6)
+
+		// OPROM reserved (0xdc000-0xdffff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000dc000, 0x000dffff, 0x00000000,
+				0x00004000,,, OPR7)
+
+		// BIOS Extension (0xe0000-0xe3fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000e0000, 0x000e3fff, 0x00000000,
+				0x00004000,,, ESG0)
+
+		// BIOS Extension (0xe4000-0xe7fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000e4000, 0x000e7fff, 0x00000000,
+				0x00004000,,, ESG1)
+
+		// BIOS Extension (0xe8000-0xebfff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000e8000, 0x000ebfff, 0x00000000,
+				0x00004000,,, ESG2)
+
+		// BIOS Extension (0xec000-0xeffff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000ec000, 0x000effff, 0x00000000,
+				0x00004000,,, ESG3)
+
+		// System BIOS (0xf0000-0xfffff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x000f0000, 0x000fffff, 0x00000000,
+				0x00010000,,, FSEG)
+
+		// PCI Memory Region (Top of memory-0xfebfffff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0x00000000, 0xfebfffff, 0x00000000,
+				0xfec00000,,, PM01)
+
+		// TPM Area (0xfed40000-0xfed44fff)
+		DWordMemory (ResourceProducer, PosDecode, MinFixed, MaxFixed,
+				Cacheable, ReadWrite,
+				0x00000000, 0xfed40000, 0xfed44fff, 0x00000000,
+				0x00005000,,, TPMR)
+	})
+
+	// Find PCI resource area in MCRS
+	CreateDwordField(MCRS, PM01._MIN, PMIN)
+	CreateDwordField(MCRS, PM01._MAX, PMAX)
+	CreateDwordField(MCRS, PM01._LEN, PLEN)
+
+	// Fix up PCI memory region
+	// Start with Top of Lower Usable DRAM
+	Store (^MCHC.TLUD, Local0)
+	Store (^MCHC.MEBA, Local1)
+
+	// Check if ME base is equal
+	If (LEqual (Local0, Local1)) {
+		// Use Top Of Memory instead
+		Store (^MCHC.TOM, Local0)
+	}
+
+	Store (Local0, PMIN)
+	Add(Subtract(PMAX, PMIN), 1, PLEN)
+
+	Return (MCRS)
+}
+
+/* IRQ assignment is mainboard specific. Get it from mainboard ACPI code */
+#include "acpi/sandybridge_pci_irqs.asl"
+
+
diff --git a/src/northbridge/intel/sandyivy-native/acpi/igd.asl b/src/northbridge/intel/sandyivy-native/acpi/igd.asl
new file mode 100644
index 0000000..cfc12ed
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/acpi/igd.asl
@@ -0,0 +1,341 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston,
+ * MA 02110-1301 USA
+ */
+
+Device (GFX0)
+{
+	Name (_ADR, 0x00020000)
+
+	OperationRegion (GFXC, PCI_Config, 0x00, 0x0100)
+	Field (GFXC, DWordAcc, NoLock, Preserve)
+	{
+		Offset (0x10),
+		BAR0, 64
+	}
+
+	OperationRegion (GFRG, SystemMemory, And(BAR0, 0xfffffffffffffff0), 0x400000)
+	Field (GFRG, DWordAcc, NoLock, Preserve)
+	{
+		Offset (0x48254),
+			BCLV, 16,
+		Offset (0xc8250),
+			CR1, 32,
+			CR2, 32
+	}
+
+	/* Display Output Switching */
+	Method (_DOS, 1)
+	{
+		/* Windows 2000 and Windows XP call _DOS to enable/disable
+		 * Display Output Switching during init and while a switch
+		 * is already active
+		 */
+		Store (And(Arg0, 7), DSEN)
+	}
+
+	/* We try to support as many i945 systems as possible,
+	 * so keep the number of DIDs flexible.
+	 */
+	Method (_DOD, 0)
+	{
+		If (LEqual(NDID, 1)) {
+			Name(DOD1, Package() {
+				0xffffffff
+			})
+			Store (Or(0x00010000, DID1), Index(DOD1, 0))
+			Return(DOD1)
+		}
+
+		If (LEqual(NDID, 2)) {
+			Name(DOD2, Package() {
+				0xffffffff,
+				0xffffffff
+			})
+			Store (Or(0x00010000, DID2), Index(DOD2, 0))
+			Store (Or(0x00010000, DID2), Index(DOD2, 1))
+			Return(DOD2)
+		}
+
+		If (LEqual(NDID, 3)) {
+			Name(DOD3, Package() {
+				0xffffffff,
+				0xffffffff,
+				0xffffffff
+			})
+			Store (Or(0x00010000, DID3), Index(DOD3, 0))
+			Store (Or(0x00010000, DID3), Index(DOD3, 1))
+			Store (Or(0x00010000, DID3), Index(DOD3, 2))
+			Return(DOD3)
+		}
+
+		If (LEqual(NDID, 4)) {
+			Name(DOD4, Package() {
+				0xffffffff,
+				0xffffffff,
+				0xffffffff,
+				0xffffffff
+			})
+			Store (Or(0x00010000, DID4), Index(DOD4, 0))
+			Store (Or(0x00010000, DID4), Index(DOD4, 1))
+			Store (Or(0x00010000, DID4), Index(DOD4, 2))
+			Store (Or(0x00010000, DID4), Index(DOD4, 3))
+			Return(DOD4)
+		}
+
+		If (LGreater(NDID, 4)) {
+			Name(DOD5, Package() {
+				0xffffffff,
+				0xffffffff,
+				0xffffffff,
+				0xffffffff,
+				0xffffffff
+			})
+			Store (Or(0x00010000, DID5), Index(DOD5, 0))
+			Store (Or(0x00010000, DID5), Index(DOD5, 1))
+			Store (Or(0x00010000, DID5), Index(DOD5, 2))
+			Store (Or(0x00010000, DID5), Index(DOD5, 3))
+			Store (Or(0x00010000, DID5), Index(DOD5, 4))
+			Return(DOD5)
+		}
+
+		/* Some error happened, but we have to return something */
+		Return (Package() {0x00000400})
+	}
+
+	Device(DD01)
+	{
+		/* Device Unique ID */
+		Method(_ADR, 0, Serialized)
+		{
+			If(LEqual(DID1, 0)) {
+				Return (1)
+			} Else {
+				Return (And(0xffff, DID1))
+			}
+		}
+
+		/* Device Current Status */
+		Method(_DCS, 0)
+		{
+			TRAP(1)
+			If (And(CSTE, 1)) {
+				Return (0x1f)
+			}
+			Return(0x1d)
+		}
+
+		/* Query Device Graphics State */
+		Method(_DGS, 0)
+		{
+			If (And(NSTE, 1)) {
+				Return(1)
+			}
+			Return(0)
+		}
+
+		/* Device Set State */
+		Method(_DSS, 1)
+		{
+			/* If Parameter Arg0 is (1 << 31) | (1 << 30), the
+			 * display switch was completed
+			 */
+			If (LEqual(And(Arg0, 0xc0000000), 0xc0000000)) {
+				Store (NSTE, CSTE)
+			}
+		}
+	}
+
+	Device(DD02)
+	{
+		/* Device Unique ID */
+		Method(_ADR, 0, Serialized)
+		{
+			If(LEqual(DID2, 0)) {
+				Return (2)
+			} Else {
+				Return (And(0xffff, DID2))
+			}
+		}
+
+		/* Device Current Status */
+		Method(_DCS, 0)
+		{
+			TRAP(1)
+			If (And(CSTE, 2)) {
+				Return (0x1f)
+			}
+			Return(0x1d)
+		}
+
+		/* Query Device Graphics State */
+		Method(_DGS, 0)
+		{
+			If (And(NSTE, 2)) {
+				Return(1)
+			}
+			Return(0)
+		}
+
+		/* Device Set State */
+		Method(_DSS, 1)
+		{
+			/* If Parameter Arg0 is (1 << 31) | (1 << 30), the
+			 * display switch was completed
+			 */
+			If (LEqual(And(Arg0, 0xc0000000), 0xc0000000)) {
+				Store (NSTE, CSTE)
+			}
+		}
+	}
+
+
+	Device(DD03)
+	{
+		/* Device Unique ID */
+		Method(_ADR, 0, Serialized)
+		{
+			If(LEqual(DID3, 0)) {
+				Return (3)
+			} Else {
+				Return (And(0xffff, DID3))
+			}
+		}
+
+		/* Device Current Status */
+		Method(_DCS, 0)
+		{
+			TRAP(1)
+			If (And(CSTE, 4)) {
+				Return (0x1f)
+			}
+			Return(0x1d)
+		}
+
+		/* Query Device Graphics State */
+		Method(_DGS, 0)
+		{
+			If (And(NSTE, 4)) {
+				Return(1)
+			}
+			Return(0)
+		}
+
+		/* Device Set State */
+		Method(_DSS, 1)
+		{
+			/* If Parameter Arg0 is (1 << 31) | (1 << 30), the
+			 * display switch was completed
+			 */
+			If (LEqual(And(Arg0, 0xc0000000), 0xc0000000)) {
+				Store (NSTE, CSTE)
+			}
+		}
+	}
+
+
+	Device(DD04)
+	{
+		/* Device Unique ID */
+		Method(_ADR, 0, Serialized)
+		{
+			If(LEqual(DID4, 0)) {
+				Return (4)
+			} Else {
+				Return (And(0xffff, DID4))
+			}
+		}
+
+		/* Device Current Status */
+		Method(_DCS, 0)
+		{
+			TRAP(1)
+			If (And(CSTE, 8)) {
+				Return (0x1f)
+			}
+			Return(0x1d)
+		}
+
+		/* Query Device Graphics State */
+		Method(_DGS, 0)
+		{
+			If (And(NSTE, 4)) {
+				Return(1)
+			}
+			Return(0)
+		}
+
+		/* Device Set State */
+		Method(_DSS, 1)
+		{
+			/* If Parameter Arg0 is (1 << 31) | (1 << 30), the
+			 * display switch was completed
+			 */
+			If (LEqual(And(Arg0, 0xc0000000), 0xc0000000)) {
+				Store (NSTE, CSTE)
+			}
+		}
+	}
+
+
+	Device(DD05)
+	{
+		/* Device Unique ID */
+		Method(_ADR, 0, Serialized)
+		{
+			If(LEqual(DID5, 0)) {
+				Return (5)
+			} Else {
+				Return (And(0xffff, DID5))
+			}
+		}
+
+		/* Device Current Status */
+		Method(_DCS, 0)
+		{
+			TRAP(1)
+			If (And(CSTE, 16)) {
+				Return (0x1f)
+			}
+			Return(0x1d)
+		}
+
+		/* Query Device Graphics State */
+		Method(_DGS, 0)
+		{
+			If (And(NSTE, 4)) {
+				Return(1)
+			}
+			Return(0)
+		}
+
+		/* Device Set State */
+		Method(_DSS, 1)
+		{
+			/* If Parameter Arg0 is (1 << 31) | (1 << 30), the
+			 * display switch was completed
+			 */
+			If (LEqual(And(Arg0, 0xc0000000), 0xc0000000)) {
+				Store (NSTE, CSTE)
+			}
+		}
+	}
+
+}
+
diff --git a/src/northbridge/intel/sandyivy-native/acpi/sandybridge.asl b/src/northbridge/intel/sandyivy-native/acpi/sandybridge.asl
new file mode 100644
index 0000000..e32d5f1
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/acpi/sandybridge.asl
@@ -0,0 +1,59 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston,
+ * MA 02110-1301 USA
+ */
+
+#include "../sandybridge.h"
+#include "hostbridge.asl"
+
+/* PCI Device Resource Consumption */
+Device (PDRC)
+{
+	Name (_HID, EISAID("PNP0C02"))
+	Name (_UID, 1)
+
+	Name (PDRS, ResourceTemplate() {
+		Memory32Fixed(ReadWrite, 0xfed1c000, 0x00004000) // RCBA
+		Memory32Fixed(ReadWrite, DEFAULT_MCHBAR,   0x00008000)
+		Memory32Fixed(ReadWrite, DEFAULT_DMIBAR,   0x00001000)
+		Memory32Fixed(ReadWrite, DEFAULT_EPBAR,    0x00001000)
+		Memory32Fixed(ReadWrite, DEFAULT_PCIEXBAR, 0x04000000)
+		Memory32Fixed(ReadWrite, 0xfed20000, 0x00020000) // Misc ICH
+		Memory32Fixed(ReadWrite, 0xfed40000, 0x00005000) // Misc ICH
+		Memory32Fixed(ReadWrite, 0xfed45000, 0x0004b000) // Misc ICH
+
+#if CONFIG_CHROMEOS_RAMOOPS
+		Memory32Fixed(ReadWrite, CONFIG_CHROMEOS_RAMOOPS_RAM_START,
+					 CONFIG_CHROMEOS_RAMOOPS_RAM_SIZE)
+#endif
+
+		/* Required for SandyBridge sighting 3715511 */
+		Memory32Fixed(ReadWrite, 0x20000000, 0x00200000)
+		Memory32Fixed(ReadWrite, 0x40000000, 0x00200000)
+	})
+
+	// Current Resource Settings
+	Method (_CRS, 0, Serialized)
+	{
+		Return(PDRS)
+	}
+}
+
+// Integrated graphics 0:2.0
+#include "igd.asl"
diff --git a/src/northbridge/intel/sandyivy-native/bootblock.c b/src/northbridge/intel/sandyivy-native/bootblock.c
new file mode 100644
index 0000000..1c1d492
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/bootblock.c
@@ -0,0 +1,26 @@
+#include <arch/io.h>
+
+/* Just re-define this instead of including sandybridge.h. It blows up romcc. */
+#define PCIEXBAR	0x60
+
+static void bootblock_northbridge_init(void)
+{
+	uint32_t reg;
+
+	/*
+	 * The "io" variant of the config access is explicitly used to
+	 * setup the PCIEXBAR because CONFIG_MMCONF_SUPPORT_DEFAULT is set to
+	 * to true. That way all subsequent non-explicit config accesses use
+	 * MCFG. This code also assumes that bootblock_northbridge_init() is
+	 * the first thing called in the non-asm boot block code. The final
+	 * assumption is that no assembly code is using the
+	 * CONFIG_MMCONF_SUPPORT_DEFAULT option to do PCI config acceses.
+	 *
+	 * The PCIEXBAR is assumed to live in the memory mapped IO space under
+	 * 4GiB.
+	 */
+	reg = 0;
+	pci_io_write_config32(PCI_DEV(0,0,0), PCIEXBAR + 4, reg);
+	reg = CONFIG_MMCONF_BASE_ADDRESS | 4 | 1; /* 64MiB - 0-63 buses. */
+	pci_io_write_config32(PCI_DEV(0,0,0), PCIEXBAR, reg);
+}
diff --git a/src/northbridge/intel/sandyivy-native/chip.h b/src/northbridge/intel/sandyivy-native/chip.h
new file mode 100644
index 0000000..16df91b
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/chip.h
@@ -0,0 +1,42 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2008 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+/*
+ * Digital Port Hotplug Enable:
+ *  0x04 = Enabled, 2ms short pulse
+ *  0x05 = Enabled, 4.5ms short pulse
+ *  0x06 = Enabled, 6ms short pulse
+ *  0x07 = Enabled, 100ms short pulse
+ */
+struct northbridge_intel_sandybridge_config {
+	u8 gpu_dp_b_hotplug; /* Digital Port B Hotplug Config */
+	u8 gpu_dp_c_hotplug; /* Digital Port C Hotplug Config */
+	u8 gpu_dp_d_hotplug; /* Digital Port D Hotplug Config */
+
+	u8 gpu_panel_port_select; /* 0=LVDS 1=DP_B 2=DP_C 3=DP_D */
+	u8 gpu_panel_power_cycle_delay;          /* T4 time sequence */
+	u16 gpu_panel_power_up_delay;            /* T1+T2 time sequence */
+	u16 gpu_panel_power_down_delay;          /* T3 time sequence */
+	u16 gpu_panel_power_backlight_on_delay;  /* T5 time sequence */
+	u16 gpu_panel_power_backlight_off_delay; /* Tx time sequence */
+
+	u32 gpu_cpu_backlight;	/* CPU Backlight PWM value */
+	u32 gpu_pch_backlight;	/* PCH Backlight PWM value */
+};
+
diff --git a/src/northbridge/intel/sandyivy-native/early_init.c b/src/northbridge/intel/sandyivy-native/early_init.c
new file mode 100644
index 0000000..6319f7f
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/early_init.c
@@ -0,0 +1,173 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2010 coresystems GmbH
+ * Copyright (C) 2011 Google Inc
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <stdint.h>
+#include <stdlib.h>
+#include <console/console.h>
+#include <arch/io.h>
+#include <device/pci_def.h>
+#include <elog.h>
+#include "sandybridge.h"
+
+static void sandybridge_setup_bars(void)
+{
+	/* Setting up Southbridge. In the northbridge code. */
+	printk(BIOS_DEBUG, "Setting up static southbridge registers...");
+	pci_write_config32(PCI_DEV(0, 0x1f, 0), RCBA, DEFAULT_RCBA | 1);
+
+	pci_write_config32(PCI_DEV(0, 0x1f, 0), PMBASE, DEFAULT_PMBASE | 1);
+	pci_write_config8(PCI_DEV(0, 0x1f, 0), 0x44 /* ACPI_CNTL */ , 0x80); /* Enable ACPI BAR */
+
+	printk(BIOS_DEBUG, " done.\n");
+
+	printk(BIOS_DEBUG, "Disabling Watchdog reboot...");
+	RCBA32(GCS) = RCBA32(GCS) | (1 << 5);	/* No reset */
+	outw((1 << 11), DEFAULT_PMBASE | 0x60 | 0x08);	/* halt timer */
+	printk(BIOS_DEBUG, " done.\n");
+
+	printk(BIOS_DEBUG, "Setting up static northbridge registers...");
+	/* Set up all hardcoded northbridge BARs */
+	pci_write_config32(PCI_DEV(0, 0x00, 0), EPBAR, DEFAULT_EPBAR | 1);
+	pci_write_config32(PCI_DEV(0, 0x00, 0), EPBAR + 4, (0LL+DEFAULT_EPBAR) >> 32);
+	pci_write_config32(PCI_DEV(0, 0x00, 0), MCHBAR, DEFAULT_MCHBAR | 1);
+	pci_write_config32(PCI_DEV(0, 0x00, 0), MCHBAR + 4, (0LL+DEFAULT_MCHBAR) >> 32);
+	pci_write_config32(PCI_DEV(0, 0x00, 0), DMIBAR, DEFAULT_DMIBAR | 1);
+	pci_write_config32(PCI_DEV(0, 0x00, 0), DMIBAR + 4, (0LL+DEFAULT_DMIBAR) >> 32);
+
+	/* Set C0000-FFFFF to access RAM on both reads and writes */
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM0, 0x30);
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM1, 0x33);
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM2, 0x33);
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM3, 0x33);
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM4, 0x33);
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM5, 0x33);
+	pci_write_config8(PCI_DEV(0, 0x00, 0), PAM6, 0x33);
+
+#if CONFIG_ELOG_BOOT_COUNT
+	/* Increment Boot Counter for non-S3 resume */
+	if ((inw(DEFAULT_PMBASE + PM1_STS) & WAK_STS) &&
+	    ((inl(DEFAULT_PMBASE + PM1_CNT) >> 10) & 7) != SLP_TYP_S3)
+		boot_count_increment();
+#endif
+
+	printk(BIOS_DEBUG, " done.\n");
+
+#if CONFIG_ELOG_BOOT_COUNT
+	/* Increment Boot Counter except when resuming from S3 */
+	if ((inw(DEFAULT_PMBASE + PM1_STS) & WAK_STS) &&
+	    ((inl(DEFAULT_PMBASE + PM1_CNT) >> 10) & 7) == SLP_TYP_S3)
+		return;
+	boot_count_increment();
+#endif
+}
+
+static void sandybridge_setup_graphics(void)
+{
+	u32 reg32;
+	u16 reg16;
+	u8 reg8;
+
+	reg16 = pci_read_config16(PCI_DEV(0,2,0), PCI_DEVICE_ID);
+	switch (reg16) {
+	case 0x0102: /* GT1 Desktop */
+	case 0x0106: /* GT1 Mobile */
+	case 0x010a: /* GT1 Server */
+	case 0x0112: /* GT2 Desktop */
+	case 0x0116: /* GT2 Mobile */
+	case 0x0122: /* GT2 Desktop >=1.3GHz */
+	case 0x0126: /* GT2 Mobile >=1.3GHz */
+	case 0x0156: /* IvyBridge */
+	case 0x0162: /* IvyBridge */
+	case 0x0166: /* IvyBridge */
+	case 0xFFFF: /* cant read device id, continue anyway */
+		break;
+	default:
+		printk(BIOS_DEBUG, "Graphics '%04X' not supported by this CPU/chipset.\n", reg16);
+		return;
+	}
+
+	printk(BIOS_DEBUG, "Initializing Graphics...\n");
+
+	/* Setup IGD memory by setting GGC[7:3] = 1 for 32MB */
+	reg16 = pci_read_config16(PCI_DEV(0,0,0), GGC);
+	reg16 &= ~0x00f8;
+	reg16 |= 1 << 3;
+	/* Program GTT memory by setting GGC[9:8] = 2MB */
+	reg16 &= ~0x0300;
+	reg16 |= 2 << 8;
+	/* Enable VGA decode */
+	reg16 &= ~0x0002;
+	pci_write_config16(PCI_DEV(0,0,0), GGC, reg16);
+
+	/* Enable 256MB aperture */
+	reg8 = pci_read_config8(PCI_DEV(0, 2, 0), MSAC);
+	reg8 &= ~0x06;
+	reg8 |= 0x02;
+	pci_write_config8(PCI_DEV(0, 2, 0), MSAC, reg8);
+
+	/* Erratum workarounds */
+	reg32 = MCHBAR32(0x5f00);
+	reg32 |= (1 << 9)|(1 << 10);
+	MCHBAR32(0x5f00) = reg32;
+
+	/* Enable SA Clock Gating */
+	reg32 = MCHBAR32(0x5f00);
+	MCHBAR32(0x5f00) = reg32 | 1;
+
+	/* GPU RC6 workaround for sighting 366252 */
+	reg32 = MCHBAR32(0x5d14);
+	reg32 |= (1 << 31);
+	MCHBAR32(0x5d14) = reg32;
+
+	/* VLW */
+	reg32 = MCHBAR32(0x6120);
+	reg32 &= ~(1 << 0);
+	MCHBAR32(0x6120) = reg32;
+
+	reg32 = MCHBAR32(0x5418);
+	reg32 |= (1 << 4) | (1 << 5);
+	MCHBAR32(0x5418) = reg32;
+}
+
+void sandybridge_early_initialization(int chipset_type)
+{
+	u32 capid0_a;
+	u8 reg8;
+
+	/* Device ID Override Enable should be done very early */
+	capid0_a = pci_read_config32(PCI_DEV(0, 0, 0), 0xe4);
+	if (capid0_a & (1 << 10)) {
+		reg8 = pci_read_config8(PCI_DEV(0, 0, 0), 0xf3);
+		reg8 &= ~7; /* Clear 2:0 */
+
+		if (chipset_type == SANDYBRIDGE_MOBILE)
+			reg8 |= 1; /* Set bit 0 */
+
+		pci_write_config8(PCI_DEV(0, 0, 0), 0xf3, reg8);
+	}
+
+	/* Setup all BARs required for early PCIe and raminit */
+	sandybridge_setup_bars();
+
+	/* Device Enable */
+	pci_write_config32(PCI_DEV(0, 0, 0), DEVEN, DEVEN_HOST | DEVEN_IGD);
+
+	sandybridge_setup_graphics();
+}
diff --git a/src/northbridge/intel/sandyivy-native/early_smbus.c b/src/northbridge/intel/sandyivy-native/early_smbus.c
new file mode 100644
index 0000000..7d4ac33
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/early_smbus.c
@@ -0,0 +1,42 @@
+#include "early_smbus.h"
+
+static int spd_get_length(u8 spd_byte0)
+{
+        spd_byte0 &= 0xf;
+
+        switch (spd_byte0) {
+        case 0x3:
+                return 256;
+        case 0x2:
+                return 176;
+        case 0x1:
+                return 128;
+        default:
+                break;
+        }
+        return 0;
+}
+
+void spd_read(u8 addr, spd_raw_data spd)
+{
+        u8 reg;
+        int i, regs;
+
+        reg = smbus_read_byte(addr, 2);
+        if (reg != 0x0b) {
+                printk(BIOS_DEBUG, "SMBUS device %x not a DDR3 module\n", addr);
+                spd[2] = 0;
+                return;
+        }
+
+        reg = smbus_read_byte(addr, 0);
+        if ((regs = spd_get_length(reg)) == 0) {
+                printk(BIOS_INFO, "No DIMM present at %x\n", addr);
+                spd[2] = 0;
+                return;
+        }
+
+        for (i = 0; i < regs; i++)
+                spd[i] = smbus_read_byte(addr, i);
+}
+
diff --git a/src/northbridge/intel/sandyivy-native/early_smbus.h b/src/northbridge/intel/sandyivy-native/early_smbus.h
new file mode 100644
index 0000000..7042361
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/early_smbus.h
@@ -0,0 +1,11 @@
+#ifndef SANDYIVY_EARLY_SMBUS_H
+#define SANDYIVY_EARLY_SMBUS_H
+
+#include <arch/io.h>
+#include <console/console.h>
+#include <device/dram/ddr3.h>
+#include "southbridge/intel/bd82x6x/pch.h"
+
+void spd_read(u8 addr, spd_raw_data spd);
+
+#endif
diff --git a/src/northbridge/intel/sandyivy-native/finalize.c b/src/northbridge/intel/sandyivy-native/finalize.c
new file mode 100644
index 0000000..b0a8d6a
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/finalize.c
@@ -0,0 +1,56 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2012 The Chromium OS Authors. All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; version 2 of
+ * the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <arch/io.h>
+#include <stdlib.h>
+#include "sandybridge.h"
+
+#define PCI_DEV_SNB PCI_DEV(0, 0, 0)
+
+void intel_sandybridge_finalize_smm(void)
+{
+	pci_or_config16(PCI_DEV_SNB, 0x50, 1 << 0);	/* GGC */
+	pci_or_config32(PCI_DEV_SNB, 0x5c, 1 << 0);	/* DPR */
+	pci_or_config32(PCI_DEV_SNB, 0x78, 1 << 10);	/* ME */
+	pci_or_config32(PCI_DEV_SNB, 0x90, 1 << 0);	/* REMAPBASE */
+	pci_or_config32(PCI_DEV_SNB, 0x98, 1 << 0);	/* REMAPLIMIT */
+	pci_or_config32(PCI_DEV_SNB, 0xa0, 1 << 0);	/* TOM */
+	pci_or_config32(PCI_DEV_SNB, 0xa8, 1 << 0);	/* TOUUD */
+	pci_or_config32(PCI_DEV_SNB, 0xb0, 1 << 0);	/* BDSM */
+	pci_or_config32(PCI_DEV_SNB, 0xb4, 1 << 0);	/* BGSM */
+	pci_or_config32(PCI_DEV_SNB, 0xb8, 1 << 0);	/* TSEGMB */
+	pci_or_config32(PCI_DEV_SNB, 0xbc, 1 << 0);	/* TOLUD */
+
+	MCHBAR32_OR(0x5500, 1 << 0);	/* PAVP */
+	MCHBAR32_OR(0x5f00, 1 << 31);	/* SA PM */
+	MCHBAR32_OR(0x6020, 1 << 0);	/* UMA GFX */
+	MCHBAR32_OR(0x63fc, 1 << 0);	/* VTDTRK */
+	MCHBAR32_OR(0x6800, 1 << 31);
+	MCHBAR32_OR(0x7000, 1 << 31);
+	MCHBAR32_OR(0x77fc, 1 << 0);
+
+	/* Memory Controller Lockdown */
+	MCHBAR8(0x50fc) = 0x8f;
+
+	/* Read+write the following */
+	MCHBAR32(0x6030) = MCHBAR32(0x6030);
+	MCHBAR32(0x6034) = MCHBAR32(0x6034);
+	MCHBAR32(0x6008) = MCHBAR32(0x6008);
+}
diff --git a/src/northbridge/intel/sandyivy-native/gma.c b/src/northbridge/intel/sandyivy-native/gma.c
new file mode 100644
index 0000000..2d8bd77
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/gma.c
@@ -0,0 +1,693 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011 Chromium OS Authors
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <arch/io.h>
+#include <console/console.h>
+#include <bootmode.h>
+#include <delay.h>
+#include <device/device.h>
+#include <device/pci.h>
+#include <device/pci_ids.h>
+#include <device/pci_ops.h>
+#include <cpu/x86/msr.h>
+#include <cpu/x86/mtrr.h>
+
+#include "chip.h"
+#include "sandybridge.h"
+
+struct gt_powermeter {
+	u16 reg;
+	u32 value;
+};
+
+static const struct gt_powermeter snb_pm_gt1[] = {
+	{ 0xa200, 0xcc000000 },
+	{ 0xa204, 0x07000040 },
+	{ 0xa208, 0x0000fe00 },
+	{ 0xa20c, 0x00000000 },
+	{ 0xa210, 0x17000000 },
+	{ 0xa214, 0x00000021 },
+	{ 0xa218, 0x0817fe19 },
+	{ 0xa21c, 0x00000000 },
+	{ 0xa220, 0x00000000 },
+	{ 0xa224, 0xcc000000 },
+	{ 0xa228, 0x07000040 },
+	{ 0xa22c, 0x0000fe00 },
+	{ 0xa230, 0x00000000 },
+	{ 0xa234, 0x17000000 },
+	{ 0xa238, 0x00000021 },
+	{ 0xa23c, 0x0817fe19 },
+	{ 0xa240, 0x00000000 },
+	{ 0xa244, 0x00000000 },
+	{ 0xa248, 0x8000421e },
+	{ 0 }
+};
+
+static const struct gt_powermeter snb_pm_gt2[] = {
+	{ 0xa200, 0x330000a6 },
+	{ 0xa204, 0x402d0031 },
+	{ 0xa208, 0x00165f83 },
+	{ 0xa20c, 0xf1000000 },
+	{ 0xa210, 0x00000000 },
+	{ 0xa214, 0x00160016 },
+	{ 0xa218, 0x002a002b },
+	{ 0xa21c, 0x00000000 },
+	{ 0xa220, 0x00000000 },
+	{ 0xa224, 0x330000a6 },
+	{ 0xa228, 0x402d0031 },
+	{ 0xa22c, 0x00165f83 },
+	{ 0xa230, 0xf1000000 },
+	{ 0xa234, 0x00000000 },
+	{ 0xa238, 0x00160016 },
+	{ 0xa23c, 0x002a002b },
+	{ 0xa240, 0x00000000 },
+	{ 0xa244, 0x00000000 },
+	{ 0xa248, 0x8000421e },
+	{ 0 }
+};
+
+static const struct gt_powermeter ivb_pm_gt1[] = {
+	{ 0xa800, 0x00000000 },
+	{ 0xa804, 0x00021c00 },
+	{ 0xa808, 0x00000403 },
+	{ 0xa80c, 0x02001700 },
+	{ 0xa810, 0x05000200 },
+	{ 0xa814, 0x00000000 },
+	{ 0xa818, 0x00690500 },
+	{ 0xa81c, 0x0000007f },
+	{ 0xa820, 0x01002501 },
+	{ 0xa824, 0x00000300 },
+	{ 0xa828, 0x01000331 },
+	{ 0xa82c, 0x0000000c },
+	{ 0xa830, 0x00010016 },
+	{ 0xa834, 0x01100101 },
+	{ 0xa838, 0x00010103 },
+	{ 0xa83c, 0x00041300 },
+	{ 0xa840, 0x00000b30 },
+	{ 0xa844, 0x00000000 },
+	{ 0xa848, 0x7f000000 },
+	{ 0xa84c, 0x05000008 },
+	{ 0xa850, 0x00000001 },
+	{ 0xa854, 0x00000004 },
+	{ 0xa858, 0x00000007 },
+	{ 0xa85c, 0x00000000 },
+	{ 0xa860, 0x00010000 },
+	{ 0xa248, 0x0000221e },
+	{ 0xa900, 0x00000000 },
+	{ 0xa904, 0x00001c00 },
+	{ 0xa908, 0x00000000 },
+	{ 0xa90c, 0x06000000 },
+	{ 0xa910, 0x09000200 },
+	{ 0xa914, 0x00000000 },
+	{ 0xa918, 0x00590000 },
+	{ 0xa91c, 0x00000000 },
+	{ 0xa920, 0x04002501 },
+	{ 0xa924, 0x00000100 },
+	{ 0xa928, 0x03000410 },
+	{ 0xa92c, 0x00000000 },
+	{ 0xa930, 0x00020000 },
+	{ 0xa934, 0x02070106 },
+	{ 0xa938, 0x00010100 },
+	{ 0xa93c, 0x00401c00 },
+	{ 0xa940, 0x00000000 },
+	{ 0xa944, 0x00000000 },
+	{ 0xa948, 0x10000e00 },
+	{ 0xa94c, 0x02000004 },
+	{ 0xa950, 0x00000001 },
+	{ 0xa954, 0x00000004 },
+	{ 0xa960, 0x00060000 },
+	{ 0xaa3c, 0x00001c00 },
+	{ 0xaa54, 0x00000004 },
+	{ 0xaa60, 0x00060000 },
+	{ 0 }
+};
+
+static const struct gt_powermeter ivb_pm_gt2[] = {
+	{ 0xa800, 0x10000000 },
+	{ 0xa804, 0x00033800 },
+	{ 0xa808, 0x00000902 },
+	{ 0xa80c, 0x0c002f00 },
+	{ 0xa810, 0x12000400 },
+	{ 0xa814, 0x00000000 },
+	{ 0xa818, 0x00d20800 },
+	{ 0xa81c, 0x00000002 },
+	{ 0xa820, 0x03004b02 },
+	{ 0xa824, 0x00000600 },
+	{ 0xa828, 0x07000773 },
+	{ 0xa82c, 0x00000000 },
+	{ 0xa830, 0x00010032 },
+	{ 0xa834, 0x1520040d },
+	{ 0xa838, 0x00020105 },
+	{ 0xa83c, 0x00083700 },
+	{ 0xa840, 0x0000151d },
+	{ 0xa844, 0x00000000 },
+	{ 0xa848, 0x20001b00 },
+	{ 0xa84c, 0x0a000010 },
+	{ 0xa850, 0x00000000 },
+	{ 0xa854, 0x00000008 },
+	{ 0xa858, 0x00000008 },
+	{ 0xa85c, 0x00000000 },
+	{ 0xa860, 0x00020000 },
+	{ 0xa248, 0x0000221e },
+	{ 0xa900, 0x00000000 },
+	{ 0xa904, 0x00003500 },
+	{ 0xa908, 0x00000000 },
+	{ 0xa90c, 0x0c000000 },
+	{ 0xa910, 0x12000500 },
+	{ 0xa914, 0x00000000 },
+	{ 0xa918, 0x00b20000 },
+	{ 0xa91c, 0x00000000 },
+	{ 0xa920, 0x08004b02 },
+	{ 0xa924, 0x00000200 },
+	{ 0xa928, 0x07000820 },
+	{ 0xa92c, 0x00000000 },
+	{ 0xa930, 0x00030000 },
+	{ 0xa934, 0x050f020d },
+	{ 0xa938, 0x00020300 },
+	{ 0xa93c, 0x00903900 },
+	{ 0xa940, 0x00000000 },
+	{ 0xa944, 0x00000000 },
+	{ 0xa948, 0x20001b00 },
+	{ 0xa94c, 0x0a000010 },
+	{ 0xa950, 0x00000000 },
+	{ 0xa954, 0x00000008 },
+	{ 0xa960, 0x00110000 },
+	{ 0xaa3c, 0x00003900 },
+	{ 0xaa54, 0x00000008 },
+	{ 0xaa60, 0x00110000 },
+	{ 0 }
+};
+
+static const struct gt_powermeter ivb_pm_gt2_17w[] = {
+	{ 0xa800, 0x20000000 },
+	{ 0xa804, 0x000e3800 },
+	{ 0xa808, 0x00000806 },
+	{ 0xa80c, 0x0c002f00 },
+	{ 0xa810, 0x0c000800 },
+	{ 0xa814, 0x00000000 },
+	{ 0xa818, 0x00d20d00 },
+	{ 0xa81c, 0x000000ff },
+	{ 0xa820, 0x03004b02 },
+	{ 0xa824, 0x00000600 },
+	{ 0xa828, 0x07000773 },
+	{ 0xa82c, 0x00000000 },
+	{ 0xa830, 0x00020032 },
+	{ 0xa834, 0x1520040d },
+	{ 0xa838, 0x00020105 },
+	{ 0xa83c, 0x00083700 },
+	{ 0xa840, 0x000016ff },
+	{ 0xa844, 0x00000000 },
+	{ 0xa848, 0xff000000 },
+	{ 0xa84c, 0x0a000010 },
+	{ 0xa850, 0x00000002 },
+	{ 0xa854, 0x00000008 },
+	{ 0xa858, 0x0000000f },
+	{ 0xa85c, 0x00000000 },
+	{ 0xa860, 0x00020000 },
+	{ 0xa248, 0x0000221e },
+	{ 0xa900, 0x00000000 },
+	{ 0xa904, 0x00003800 },
+	{ 0xa908, 0x00000000 },
+	{ 0xa90c, 0x0c000000 },
+	{ 0xa910, 0x12000800 },
+	{ 0xa914, 0x00000000 },
+	{ 0xa918, 0x00b20000 },
+	{ 0xa91c, 0x00000000 },
+	{ 0xa920, 0x08004b02 },
+	{ 0xa924, 0x00000300 },
+	{ 0xa928, 0x01000820 },
+	{ 0xa92c, 0x00000000 },
+	{ 0xa930, 0x00030000 },
+	{ 0xa934, 0x15150406 },
+	{ 0xa938, 0x00020300 },
+	{ 0xa93c, 0x00903900 },
+	{ 0xa940, 0x00000000 },
+	{ 0xa944, 0x00000000 },
+	{ 0xa948, 0x20001b00 },
+	{ 0xa94c, 0x0a000010 },
+	{ 0xa950, 0x00000000 },
+	{ 0xa954, 0x00000008 },
+	{ 0xa960, 0x00110000 },
+	{ 0xaa3c, 0x00003900 },
+	{ 0xaa54, 0x00000008 },
+	{ 0xaa60, 0x00110000 },
+	{ 0 }
+};
+
+static const struct gt_powermeter ivb_pm_gt2_35w[] = {
+	{ 0xa800, 0x00000000 },
+	{ 0xa804, 0x00030400 },
+	{ 0xa808, 0x00000806 },
+	{ 0xa80c, 0x0c002f00 },
+	{ 0xa810, 0x0c000300 },
+	{ 0xa814, 0x00000000 },
+	{ 0xa818, 0x00d20d00 },
+	{ 0xa81c, 0x000000ff },
+	{ 0xa820, 0x03004b02 },
+	{ 0xa824, 0x00000600 },
+	{ 0xa828, 0x07000773 },
+	{ 0xa82c, 0x00000000 },
+	{ 0xa830, 0x00020032 },
+	{ 0xa834, 0x1520040d },
+	{ 0xa838, 0x00020105 },
+	{ 0xa83c, 0x00083700 },
+	{ 0xa840, 0x000016ff },
+	{ 0xa844, 0x00000000 },
+	{ 0xa848, 0xff000000 },
+	{ 0xa84c, 0x0a000010 },
+	{ 0xa850, 0x00000001 },
+	{ 0xa854, 0x00000008 },
+	{ 0xa858, 0x00000008 },
+	{ 0xa85c, 0x00000000 },
+	{ 0xa860, 0x00020000 },
+	{ 0xa248, 0x0000221e },
+	{ 0xa900, 0x00000000 },
+	{ 0xa904, 0x00003800 },
+	{ 0xa908, 0x00000000 },
+	{ 0xa90c, 0x0c000000 },
+	{ 0xa910, 0x12000800 },
+	{ 0xa914, 0x00000000 },
+	{ 0xa918, 0x00b20000 },
+	{ 0xa91c, 0x00000000 },
+	{ 0xa920, 0x08004b02 },
+	{ 0xa924, 0x00000300 },
+	{ 0xa928, 0x01000820 },
+	{ 0xa92c, 0x00000000 },
+	{ 0xa930, 0x00030000 },
+	{ 0xa934, 0x15150406 },
+	{ 0xa938, 0x00020300 },
+	{ 0xa93c, 0x00903900 },
+	{ 0xa940, 0x00000000 },
+	{ 0xa944, 0x00000000 },
+	{ 0xa948, 0x20001b00 },
+	{ 0xa94c, 0x0a000010 },
+	{ 0xa950, 0x00000000 },
+	{ 0xa954, 0x00000008 },
+	{ 0xa960, 0x00110000 },
+	{ 0xaa3c, 0x00003900 },
+	{ 0xaa54, 0x00000008 },
+	{ 0xaa60, 0x00110000 },
+	{ 0 }
+};
+
+/* some vga option roms are used for several chipsets but they only have one
+ * PCI ID in their header. If we encounter such an option rom, we need to do
+ * the mapping ourselfes
+ */
+
+u32 map_oprom_vendev(u32 vendev)
+{
+	u32 new_vendev=vendev;
+
+	switch (vendev) {
+	case 0x80860102:		/* GT1 Desktop */
+	case 0x8086010a:		/* GT1 Server */
+	case 0x80860112:		/* GT2 Desktop */
+	case 0x80860116:		/* GT2 Mobile */
+	case 0x80860122:		/* GT2 Desktop >=1.3GHz */
+	case 0x80860126:		/* GT2 Mobile >=1.3GHz */
+	case 0x80860156:                /* IVB */
+	case 0x80860166:                /* IVB */
+		new_vendev=0x80860106;	/* GT1 Mobile */
+		break;
+	}
+
+	return new_vendev;
+}
+
+static struct resource *gtt_res = NULL;
+
+static inline u32 gtt_read(u32 reg)
+{
+	return read32(gtt_res->base + reg);
+}
+
+static inline void gtt_write(u32 reg, u32 data)
+{
+	write32(gtt_res->base + reg, data);
+}
+
+static inline void gtt_write_powermeter(const struct gt_powermeter *pm)
+{
+	for (; pm && pm->reg; pm++)
+		gtt_write(pm->reg, pm->value);
+}
+
+#define GTT_RETRY 1000
+static int gtt_poll(u32 reg, u32 mask, u32 value)
+{
+	unsigned try = GTT_RETRY;
+	u32 data;
+
+	while (try--) {
+		data = gtt_read(reg);
+		if ((data & mask) == value)
+			return 1;
+		udelay(10);
+	}
+
+	printk(BIOS_ERR, "GT init timeout\n");
+	return 0;
+}
+
+static void gma_pm_init_pre_vbios(struct device *dev)
+{
+	u32 reg32;
+
+	printk(BIOS_DEBUG, "GT Power Management Init\n");
+
+	gtt_res = find_resource(dev, PCI_BASE_ADDRESS_0);
+	if (!gtt_res || !gtt_res->base)
+		return;
+
+	if (bridge_silicon_revision() < IVB_STEP_C0) {
+		/* 1: Enable force wake */
+		gtt_write(0xa18c, 0x00000001);
+		gtt_poll(0x130090, (1 << 0), (1 << 0));
+	} else {
+		gtt_write(0xa180, 1 << 5);
+		gtt_write(0xa188, 0xffff0001);
+		gtt_poll(0x130040, (1 << 0), (1 << 0));
+	}
+
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_SNB) {
+		/* 1d: Set GTT+0x42004 [15:14]=11 (SnB C1+) */
+		reg32 = gtt_read(0x42004);
+		reg32 |= (1 << 14) | (1 << 15);
+		gtt_write(0x42004, reg32);
+	}
+
+	if (bridge_silicon_revision() >= IVB_STEP_A0) {
+		/* Display Reset Acknowledge Settings */
+		reg32 = gtt_read(0x45010);
+		reg32 |= (1 << 1) | (1 << 0);
+		gtt_write(0x45010, reg32);
+	}
+
+	/* 2: Get GT SKU from GTT+0x911c[13] */
+	reg32 = gtt_read(0x911c);
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_SNB) {
+		if (reg32 & (1 << 13)) {
+			printk(BIOS_DEBUG, "SNB GT1 Power Meter Weights\n");
+			gtt_write_powermeter(snb_pm_gt1);
+		} else {
+			printk(BIOS_DEBUG, "SNB GT2 Power Meter Weights\n");
+			gtt_write_powermeter(snb_pm_gt2);
+		}
+	} else {
+		u32 unit = MCHBAR32(0x5938) & 0xf;
+
+		if (reg32 & (1 << 13)) {
+			/* GT1 SKU */
+			printk(BIOS_DEBUG, "IVB GT1 Power Meter Weights\n");
+			gtt_write_powermeter(ivb_pm_gt1);
+		} else {
+			/* GT2 SKU */
+			u32 tdp = MCHBAR32(0x5930) & 0x7fff;
+			tdp /= (1 << unit);
+
+			if (tdp <= 17) {
+				/* <=17W ULV */
+				printk(BIOS_DEBUG, "IVB GT2 17W "
+				       "Power Meter Weights\n");
+				gtt_write_powermeter(ivb_pm_gt2_17w);
+			} else if ((tdp >= 25) && (tdp <= 35)) {
+				/* 25W-35W */
+				printk(BIOS_DEBUG, "IVB GT2 25W-35W "
+				       "Power Meter Weights\n");
+				gtt_write_powermeter(ivb_pm_gt2_35w);
+			} else {
+				/* All others */
+				printk(BIOS_DEBUG, "IVB GT2 35W "
+				       "Power Meter Weights\n");
+				gtt_write_powermeter(ivb_pm_gt2_35w);
+			}
+		}
+	}
+
+	/* 3: Gear ratio map */
+	gtt_write(0xa004, 0x00000010);
+
+	/* 4: GFXPAUSE */
+	gtt_write(0xa000, 0x00070020);
+
+	/* 5: Dynamic EU trip control */
+	gtt_write(0xa080, 0x00000004);
+
+	/* 6: ECO bits */
+	reg32 = gtt_read(0xa180);
+	reg32 |= (1 << 26) | (1 << 31);
+	/* (bit 20=1 for SNB step D1+ / IVB A0+) */
+	if (bridge_silicon_revision() >= SNB_STEP_D1)
+		reg32 |= (1 << 20);
+	gtt_write(0xa180, reg32);
+
+	/* 6a: for SnB step D2+ only */
+	if (((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_SNB) &&
+		(bridge_silicon_revision() >= SNB_STEP_D2)) {
+		reg32 = gtt_read(0x9400);
+		reg32 |= (1 << 7);
+		gtt_write(0x9400, reg32);
+
+		reg32 = gtt_read(0x941c);
+		reg32 &= 0xf;
+		reg32 |= (1 << 1);
+		gtt_write(0x941c, reg32);
+		gtt_poll(0x941c, (1 << 1), (0 << 1));
+	}
+
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_IVB) {
+		reg32 = gtt_read(0x907c);
+		reg32 |= (1 << 16);
+		gtt_write(0x907c, reg32);
+
+		/* 6b: Clocking reset controls */
+		gtt_write(0x9424, 0x00000001);
+	} else {
+		/* 6b: Clocking reset controls */
+		gtt_write(0x9424, 0x00000000);
+	}
+
+	/* 7 */
+	if (gtt_poll(0x138124, (1 << 31), (0 << 31))) {
+		gtt_write(0x138128, 0x00000029); /* Mailbox Data */
+		gtt_write(0x138124, 0x80000004); /* Mailbox Cmd for RC6 VID */
+		if (gtt_poll(0x138124, (1 << 31), (0 << 31)))
+			gtt_write(0x138124, 0x8000000a);
+		gtt_poll(0x138124, (1 << 31), (0 << 31));
+	}
+
+	/* 8 */
+	gtt_write(0xa090, 0x00000000); /* RC Control */
+	gtt_write(0xa098, 0x03e80000); /* RC1e Wake Rate Limit */
+	gtt_write(0xa09c, 0x0028001e); /* RC6/6p Wake Rate Limit */
+	gtt_write(0xa0a0, 0x0000001e); /* RC6pp Wake Rate Limit */
+	gtt_write(0xa0a8, 0x0001e848); /* RC Evaluation Interval */
+	gtt_write(0xa0ac, 0x00000019); /* RC Idle Hysteresis */
+
+	/* 9 */
+	gtt_write(0x2054, 0x0000000a); /* Render Idle Max Count */
+	gtt_write(0x12054,0x0000000a); /* Video Idle Max Count */
+	gtt_write(0x22054,0x0000000a); /* Blitter Idle Max Count */
+
+	/* 10 */
+	gtt_write(0xa0b0, 0x00000000); /* Unblock Ack to Busy */
+	gtt_write(0xa0b4, 0x000003e8); /* RC1e Threshold */
+	gtt_write(0xa0b8, 0x0000c350); /* RC6 Threshold */
+	gtt_write(0xa0bc, 0x000186a0); /* RC6p Threshold */
+	gtt_write(0xa0c0, 0x0000fa00); /* RC6pp Threshold */
+
+	/* 11 */
+	gtt_write(0xa010, 0x000f4240); /* RP Down Timeout */
+	gtt_write(0xa014, 0x12060000); /* RP Interrupt Limits */
+	gtt_write(0xa02c, 0x00015f90); /* RP Up Threshold */
+	gtt_write(0xa030, 0x000186a0); /* RP Down Threshold */
+	gtt_write(0xa068, 0x000186a0); /* RP Up EI */
+	gtt_write(0xa06c, 0x000493e0); /* RP Down EI */
+	gtt_write(0xa070, 0x0000000a); /* RP Idle Hysteresis */
+
+	/* 11a: Enable Render Standby (RC6) */
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_IVB) {
+		/*
+		 * IvyBridge should also support DeepRenderStandby.
+		 *
+		 * Unfortunately it does not work reliably on all SKUs so
+		 * disable it here and it can be enabled by the kernel.
+		 */
+		gtt_write(0xa090, 0x88040000); /* HW RC Control */
+	} else {
+		gtt_write(0xa090, 0x88040000); /* HW RC Control */
+	}
+
+	/* 12: Normal Frequency Request */
+	/* RPNFREQ_VAL comes from MCHBAR 0x5998 23:16 (8 bits!? use 7) */
+	reg32 = MCHBAR32(0x5998);
+	reg32 >>= 16;
+	reg32 &= 0xef;
+	reg32 <<= 25;
+	gtt_write(0xa008, reg32);
+
+	/* 13: RP Control */
+	gtt_write(0xa024, 0x00000592);
+
+	/* 14: Enable PM Interrupts */
+	gtt_write(0x4402c, 0x03000076);
+
+	/* Clear 0x6c024 [8:6] */
+	reg32 = gtt_read(0x6c024);
+	reg32 &= ~0x000001c0;
+	gtt_write(0x6c024, reg32);
+}
+
+static void gma_pm_init_post_vbios(struct device *dev)
+{
+	struct northbridge_intel_sandybridge_config *conf = dev->chip_info;
+	u32 reg32;
+
+	printk(BIOS_DEBUG, "GT Power Management Init (post VBIOS)\n");
+
+	/* 15: Deassert Force Wake */
+	if (bridge_silicon_revision() < IVB_STEP_C0) {
+		gtt_write(0xa18c, gtt_read(0xa18c) & ~1);
+		gtt_poll(0x130090, (1 << 0), (0 << 0));
+	} else {
+		gtt_write(0xa188, 0x1fffe);
+		if (gtt_poll(0x130040, (1 << 0), (0 << 0)))
+			gtt_write(0xa188, gtt_read(0xa188) | 1);
+	}
+
+	/* 16: SW RC Control */
+	gtt_write(0xa094, 0x00060000);
+
+	/* Setup Digital Port Hotplug */
+	reg32 = gtt_read(0xc4030);
+	if (!reg32) {
+		reg32 = (conf->gpu_dp_b_hotplug & 0x7) << 2;
+		reg32 |= (conf->gpu_dp_c_hotplug & 0x7) << 10;
+		reg32 |= (conf->gpu_dp_d_hotplug & 0x7) << 18;
+		gtt_write(0xc4030, reg32);
+	}
+
+	/* Setup Panel Power On Delays */
+	reg32 = gtt_read(0xc7208);
+	if (!reg32) {
+		reg32 = (conf->gpu_panel_port_select & 0x3) << 30;
+		reg32 |= (conf->gpu_panel_power_up_delay & 0x1fff) << 16;
+		reg32 |= (conf->gpu_panel_power_backlight_on_delay & 0x1fff);
+		gtt_write(0xc7208, reg32);
+	}
+
+	/* Setup Panel Power Off Delays */
+	reg32 = gtt_read(0xc720c);
+	if (!reg32) {
+		reg32 = (conf->gpu_panel_power_down_delay & 0x1fff) << 16;
+		reg32 |= (conf->gpu_panel_power_backlight_off_delay & 0x1fff);
+		gtt_write(0xc720c, reg32);
+	}
+
+	/* Setup Panel Power Cycle Delay */
+	if (conf->gpu_panel_power_cycle_delay) {
+		reg32 = gtt_read(0xc7210);
+		reg32 &= ~0xff;
+		reg32 |= conf->gpu_panel_power_cycle_delay & 0xff;
+		gtt_write(0xc7210, reg32);
+	}
+
+	/* Enable Backlight if needed */
+	if (conf->gpu_cpu_backlight) {
+		gtt_write(0x48250, (1 << 31));
+		gtt_write(0x48254, conf->gpu_cpu_backlight);
+	}
+	if (conf->gpu_pch_backlight) {
+		gtt_write(0xc8250, (1 << 31));
+		gtt_write(0xc8254, conf->gpu_pch_backlight);
+	}
+}
+
+static void gma_func0_init(struct device *dev)
+{
+	u32 reg32;
+
+	/* IGD needs to be Bus Master */
+	reg32 = pci_read_config32(dev, PCI_COMMAND);
+	reg32 |= PCI_COMMAND_MASTER | PCI_COMMAND_MEMORY | PCI_COMMAND_IO;
+	pci_write_config32(dev, PCI_COMMAND, reg32);
+
+	/* Init graphics power management */
+	gma_pm_init_pre_vbios(dev);
+
+#if !CONFIG_MAINBOARD_DO_NATIVE_VGA_INIT
+	/* PCI Init, will run VBIOS */
+	pci_dev_init(dev);
+#endif
+
+	/* Post VBIOS init */
+	gma_pm_init_post_vbios(dev);
+
+#if CONFIG_MAINBOARD_DO_NATIVE_VGA_INIT
+	/* This should probably run before post VBIOS init. */
+	printk(BIOS_SPEW, "Initializing VGA without OPROM.\n");
+	u32 iobase, mmiobase, physbase, graphics_base;
+	iobase = dev->resource_list[2].base;
+	mmiobase = dev->resource_list[0].base;
+	physbase = pci_read_config32(dev, 0x5c) & ~0xf;
+	graphics_base = dev->resource_list[1].base;
+
+	int i915lightup(u32 physbase, u32 iobase, u32 mmiobase, u32 gfx);
+	int lightup_ok = i915lightup(physbase, iobase, mmiobase, graphics_base);
+	if (lightup_ok)
+		gfx_set_init_done(1);
+#endif
+}
+
+static void gma_set_subsystem(device_t dev, unsigned vendor, unsigned device)
+{
+	if (!vendor || !device) {
+		pci_write_config32(dev, PCI_SUBSYSTEM_VENDOR_ID,
+				pci_read_config32(dev, PCI_VENDOR_ID));
+	} else {
+		pci_write_config32(dev, PCI_SUBSYSTEM_VENDOR_ID,
+				((device & 0xffff) << 16) | (vendor & 0xffff));
+	}
+}
+
+static struct pci_operations gma_pci_ops = {
+	.set_subsystem    = gma_set_subsystem,
+};
+
+static struct device_operations gma_func0_ops = {
+	.read_resources		= pci_dev_read_resources,
+	.set_resources		= pci_dev_set_resources,
+	.enable_resources	= pci_dev_enable_resources,
+	.init			= gma_func0_init,
+	.scan_bus		= 0,
+	.enable			= 0,
+	.ops_pci		= &gma_pci_ops,
+};
+
+static const unsigned short pci_device_ids[] = { 0x0102, 0x0106, 0x010a, 0x0112,
+						 0x0116, 0x0122, 0x0126, 0x0156,
+						 0x0166,
+						 0 };
+
+static const struct pci_driver gma __pci_driver = {
+	.ops	 = &gma_func0_ops,
+	.vendor	 = PCI_VENDOR_ID_INTEL,
+	.devices = pci_device_ids,
+};
diff --git a/src/northbridge/intel/sandyivy-native/gma.h b/src/northbridge/intel/sandyivy-native/gma.h
new file mode 100644
index 0000000..bfa43ef
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/gma.h
@@ -0,0 +1,168 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2012 Chromium OS Authors
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+/* mailbox 0: header */
+typedef struct {
+	u8	signature[16];
+	u32	size;
+	u32	version;
+	u8	sbios_version[32];
+	u8	vbios_version[16];
+	u8	driver_version[16];
+	u32	mailboxes;
+	u8	reserved[164];
+} __attribute__((packed)) opregion_header_t;
+
+#define IGD_OPREGION_SIGNATURE "IntelGraphicsMem"
+#define IGD_OPREGION_VERSION  2
+
+#define IGD_MBOX1	(1 << 0)
+#define IGD_MBOX2	(1 << 1)
+#define IGD_MBOX3	(1 << 2)
+#define IGD_MBOX4	(1 << 3)
+#define IGD_MBOX5	(1 << 4)
+
+#define MAILBOXES_MOBILE  (IGD_MBOX1 | IGD_MBOX2 | IGD_MBOX3 | \
+			   IGD_MBOX4 | IGD_MBOX5)
+#define MAILBOXES_DESKTOP (IGD_MBOX2 | IGD_MBOX4)
+
+#define SBIOS_VERSION_SIZE 32
+
+/* mailbox 1: public acpi methods */
+typedef struct {
+	u32	drdy;
+	u32	csts;
+	u32	cevt;
+	u8	reserved1[20];
+	u32	didl[8];
+	u32	cpdl[8];
+	u32	cadl[8];
+	u32	nadl[8];
+	u32	aslp;
+	u32	tidx;
+	u32	chpd;
+	u32	clid;
+	u32	cdck;
+	u32	sxsw;
+	u32	evts;
+	u32	cnot;
+	u32	nrdy;
+	u8	reserved2[60];
+} __attribute__((packed)) opregion_mailbox1_t;
+
+/* mailbox 2: software sci interface */
+typedef struct {
+	u32	scic;
+	u32	parm;
+	u32	dslp;
+	u8	reserved[244];
+} __attribute__((packed)) opregion_mailbox2_t;
+
+/* mailbox 3: power conservation */
+typedef struct {
+	u32	ardy;
+	u32	aslc;
+	u32	tche;
+	u32	alsi;
+	u32	bclp;
+	u32	pfit;
+	u32	cblv;
+	u16	bclm[20];
+	u32	cpfm;
+	u32	epfm;
+	u8	plut[74];
+	u32	pfmb;
+	u32	ccdv;
+	u32	pcft;
+	u8	reserved[94];
+} __attribute__((packed)) opregion_mailbox3_t;
+
+#define IGD_BACKLIGHT_BRIGHTNESS 0xff
+#define IGD_INITIAL_BRIGHTNESS 0x64
+
+#define IGD_FIELD_VALID	(1 << 31)
+#define IGD_WORD_FIELD_VALID (1 << 15)
+#define IGD_PFIT_STRETCH 6
+
+/* mailbox 4: vbt */
+typedef struct {
+	u8 gvd1[7168];
+} __attribute__((packed)) opregion_vbt_t;
+
+/* IGD OpRegion */
+typedef struct {
+	opregion_header_t header;
+	opregion_mailbox1_t mailbox1;
+	opregion_mailbox2_t mailbox2;
+	opregion_mailbox3_t mailbox3;
+	opregion_vbt_t vbt;
+} __attribute__((packed)) igd_opregion_t;
+
+/* Intel Video BIOS (Option ROM) */
+typedef struct {
+	u16	signature;
+	u8	size;
+	u8	reserved[21];
+	u16	pcir_offset;
+	u16	vbt_offset;
+} __attribute__((packed)) optionrom_header_t;
+
+#define OPROM_SIGNATURE 0xaa55
+
+typedef struct {
+	u32 signature;
+	u16 vendor;
+	u16 device;
+	u16 reserved1;
+	u16 length;
+	u8  revision;
+	u8  classcode[3];
+	u16 imagelength;
+	u16 coderevision;
+	u8  codetype;
+	u8  indicator;
+	u16 reserved2;
+} __attribute__((packed)) optionrom_pcir_t;
+
+typedef struct {
+	u8  hdr_signature[20];
+	u16 hdr_version;
+	u16 hdr_size;
+	u16 hdr_vbt_size;
+	u8  hdr_vbt_checksum;
+	u8  hdr_reserved;
+	u32 hdr_vbt_datablock;
+	u32 hdr_aim[4];
+	u8  datahdr_signature[16];
+	u16 datahdr_version;
+	u16 datahdr_size;
+	u16 datahdr_datablocksize;
+	u8  coreblock_id;
+	u16 coreblock_size;
+	u16 coreblock_biossize;
+	u8  coreblock_biostype;
+	u8  coreblock_releasestatus;
+	u8  coreblock_hwsupported;
+	u8  coreblock_integratedhw;
+	u8  coreblock_biosbuild[4];
+	u8  coreblock_biossignon[155];
+} __attribute__((packed)) optionrom_vbt_t;
+
+#define VBT_SIGNATURE 0x54425624
+
diff --git a/src/northbridge/intel/sandyivy-native/northbridge.c b/src/northbridge/intel/sandyivy-native/northbridge.c
new file mode 100644
index 0000000..5440140
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/northbridge.c
@@ -0,0 +1,506 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2009 coresystems GmbH
+ * Copyright (C) 2011 The ChromiumOS Authors.  All rights reserved.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <console/console.h>
+#include <arch/acpi.h>
+#include <arch/io.h>
+#include <stdint.h>
+#include <delay.h>
+#include <cpu/intel/model_206ax/model_206ax.h>
+#include <cpu/x86/msr.h>
+#include <cpu/x86/mtrr.h>
+#include <device/device.h>
+#include <device/pci.h>
+#include <device/pci_ids.h>
+#include <device/hypertransport.h>
+#include <stdlib.h>
+#include <string.h>
+#include <cpu/cpu.h>
+#include <cbmem.h>
+#include "chip.h"
+#include "sandybridge.h"
+
+static int bridge_revision_id = -1;
+
+int bridge_silicon_revision(void)
+{
+	if (bridge_revision_id < 0) {
+		uint8_t stepping = cpuid_eax(1) & 0xf;
+		uint8_t bridge_id = pci_read_config16(
+			dev_find_slot(0, PCI_DEVFN(0, 0)),
+			PCI_DEVICE_ID) & 0xf0;
+		bridge_revision_id = bridge_id | stepping;
+	}
+	return bridge_revision_id;
+}
+
+/* Reserve everything between A segment and 1MB:
+ *
+ * 0xa0000 - 0xbffff: legacy VGA
+ * 0xc0000 - 0xcffff: VGA OPROM (needed by kernel)
+ * 0xe0000 - 0xfffff: SeaBIOS, if used, otherwise DMI
+ */
+static const int legacy_hole_base_k = 0xa0000 / 1024;
+static const int legacy_hole_size_k = 384;
+
+static int get_pcie_bar(u32 *base, u32 *len)
+{
+	device_t dev;
+	u32 pciexbar_reg;
+
+	*base = 0;
+	*len = 0;
+
+	dev = dev_find_slot(0, PCI_DEVFN(0, 0));
+	if (!dev)
+		return 0;
+
+	pciexbar_reg = pci_read_config32(dev, PCIEXBAR);
+
+	if (!(pciexbar_reg & (1 << 0)))
+		return 0;
+
+	switch ((pciexbar_reg >> 1) & 3) {
+	case 0: // 256MB
+		*base = pciexbar_reg & ((1 << 31)|(1 << 30)|(1 << 29)|(1 << 28));
+		*len = 256 * 1024 * 1024;
+		return 1;
+	case 1: // 128M
+		*base = pciexbar_reg & ((1 << 31)|(1 << 30)|(1 << 29)|(1 << 28)|(1 << 27));
+		*len = 128 * 1024 * 1024;
+		return 1;
+	case 2: // 64M
+		*base = pciexbar_reg & ((1 << 31)|(1 << 30)|(1 << 29)|(1 << 28)|(1 << 27)|(1 << 26));
+		*len = 64 * 1024 * 1024;
+		return 1;
+	}
+
+	return 0;
+}
+
+static void add_fixed_resources(struct device *dev, int index)
+{
+	struct resource *resource;
+	u32 pcie_config_base, pcie_config_size;
+
+	/* Using uma_resource() here would fail as base & size cannot
+	 * be used as-is for a single MTRR. This would cause excessive
+	 * use of MTRRs.
+	 *
+	 * Use of mmio_resource() instead does not create UC holes by using
+	 * MTRRs, but making these regions uncacheable is taken care of by
+	 * making sure they do not overlap with any ram_resource().
+	 *
+	 * The resources can be changed to use separate mmio_resource()
+	 * calls after MTRR code is able to merge them wisely.
+	 */
+	mmio_resource(dev, index++, uma_memory_base >> 10, uma_memory_size >> 10);
+
+	if (get_pcie_bar(&pcie_config_base, &pcie_config_size)) {
+		printk(BIOS_DEBUG, "Adding PCIe config bar base=0x%08x "
+		       "size=0x%x\n", pcie_config_base, pcie_config_size);
+		resource = new_resource(dev, index++);
+		resource->base = (resource_t) pcie_config_base;
+		resource->size = (resource_t) pcie_config_size;
+		resource->flags = IORESOURCE_MEM | IORESOURCE_RESERVE |
+		    IORESOURCE_FIXED | IORESOURCE_STORED | IORESOURCE_ASSIGNED;
+	}
+
+	mmio_resource(dev, index++, legacy_hole_base_k,
+			(0xc0000 >> 10) - legacy_hole_base_k);
+	reserved_ram_resource(dev, index++, 0xc0000 >> 10,
+			(0x100000 - 0xc0000) >> 10);
+
+#if CONFIG_CHROMEOS_RAMOOPS
+	reserved_ram_resource(dev, index++,
+			CONFIG_CHROMEOS_RAMOOPS_RAM_START >> 10,
+			CONFIG_CHROMEOS_RAMOOPS_RAM_SIZE >> 10);
+#endif
+
+	/* Required for SandyBridge sighting 3715511 */
+	bad_ram_resource(dev, index++, 0x20000000 >> 10, 0x00200000 >> 10);
+	bad_ram_resource(dev, index++, 0x40000000 >> 10, 0x00200000 >> 10);
+}
+
+static void pci_domain_set_resources(device_t dev)
+{
+	uint64_t tom, me_base, touud;
+	uint32_t tseg_base, uma_size, tolud;
+	uint16_t ggc;
+	unsigned long long tomk;
+
+	/* Total Memory 2GB example:
+	 *
+	 *  00000000  0000MB-1992MB  1992MB  RAM     (writeback)
+	 *  7c800000  1992MB-2000MB     8MB  TSEG    (SMRR)
+	 *  7d000000  2000MB-2002MB     2MB  GFX GTT (uncached)
+	 *  7d200000  2002MB-2034MB    32MB  GFX UMA (uncached)
+	 *  7f200000   2034MB TOLUD
+	 *  7f800000   2040MB MEBASE
+	 *  7f800000  2040MB-2048MB     8MB  ME UMA  (uncached)
+	 *  80000000   2048MB TOM
+	 * 100000000  4096MB-4102MB     6MB  RAM     (writeback)
+	 *
+	 * Total Memory 4GB example:
+	 *
+	 *  00000000  0000MB-2768MB  2768MB  RAM     (writeback)
+	 *  ad000000  2768MB-2776MB     8MB  TSEG    (SMRR)
+	 *  ad800000  2776MB-2778MB     2MB  GFX GTT (uncached)
+	 *  ada00000  2778MB-2810MB    32MB  GFX UMA (uncached)
+	 *  afa00000   2810MB TOLUD
+	 *  ff800000   4088MB MEBASE
+	 *  ff800000  4088MB-4096MB     8MB  ME UMA  (uncached)
+	 * 100000000   4096MB TOM
+	 * 100000000  4096MB-5374MB  1278MB  RAM     (writeback)
+	 * 14fe00000   5368MB TOUUD
+	 */
+
+	/* Top of Upper Usable DRAM, including remap */
+	touud = pci_read_config32(dev, TOUUD+4);
+	touud <<= 32;
+	touud |= pci_read_config32(dev, TOUUD);
+
+	/* Top of Lower Usable DRAM */
+	tolud = pci_read_config32(dev, TOLUD);
+
+	/* Top of Memory - does not account for any UMA */
+	tom = pci_read_config32(dev, 0xa4);
+	tom <<= 32;
+	tom |= pci_read_config32(dev, 0xa0);
+
+	printk(BIOS_DEBUG, "TOUUD 0x%llx TOLUD 0x%08x TOM 0x%llx\n",
+	       touud, tolud, tom);
+
+	/* ME UMA needs excluding if total memory <4GB */
+	me_base = pci_read_config32(dev, 0x74);
+	me_base <<= 32;
+	me_base |= pci_read_config32(dev, 0x70);
+
+	printk(BIOS_DEBUG, "MEBASE 0x%llx\n", me_base);
+
+	tomk = tolud >> 10;
+	if (me_base == tolud) {
+		/* ME is from MEBASE-TOM */
+		uma_size = (tom - me_base) >> 10;
+		/* Increment TOLUD to account for ME as RAM */
+		tolud += uma_size << 10;
+		/* UMA starts at old TOLUD */
+		uma_memory_base = tomk * 1024ULL;
+		uma_memory_size = uma_size * 1024ULL;
+		printk(BIOS_DEBUG, "ME UMA base 0x%llx size %uM\n",
+		       me_base, uma_size >> 10);
+	}
+
+	/* Graphics memory comes next */
+	ggc = pci_read_config16(dev, GGC);
+	if (!(ggc & 2)) {
+		printk(BIOS_DEBUG, "IGD decoded, subtracting ");
+
+		/* Graphics memory */
+		uma_size = ((ggc >> 3) & 0x1f) * 32 * 1024ULL;
+		printk(BIOS_DEBUG, "%uM UMA", uma_size >> 10);
+		tomk -= uma_size;
+		uma_memory_base = tomk * 1024ULL;
+		uma_memory_size += uma_size * 1024ULL;
+
+		/* GTT Graphics Stolen Memory Size (GGMS) */
+		uma_size = ((ggc >> 8) & 0x3) * 1024ULL;
+		tomk -= uma_size;
+		uma_memory_base = tomk * 1024ULL;
+		uma_memory_size += uma_size * 1024ULL;
+		printk(BIOS_DEBUG, " and %uM GTT\n", uma_size >> 10);
+	}
+
+	/* Calculate TSEG size from its base which must be below GTT */
+	tseg_base = pci_read_config32(dev, 0xb8);
+	uma_size = (uma_memory_base - tseg_base) >> 10;
+	tomk -= uma_size;
+	uma_memory_base = tomk * 1024ULL;
+	uma_memory_size += uma_size * 1024ULL;
+	printk(BIOS_DEBUG, "TSEG base 0x%08x size %uM\n",
+	       tseg_base, uma_size >> 10);
+
+	printk(BIOS_INFO, "Available memory below 4GB: %lluM\n", tomk >> 10);
+
+	/* Report the memory regions */
+	ram_resource(dev, 3, 0, legacy_hole_base_k);
+	ram_resource(dev, 4, legacy_hole_base_k + legacy_hole_size_k,
+	     (tomk - (legacy_hole_base_k + legacy_hole_size_k)));
+
+	/*
+	 * If >= 4GB installed then memory from TOLUD to 4GB
+	 * is remapped above TOM, TOUUD will account for both
+	 */
+	touud >>= 10; /* Convert to KB */
+	if (touud > 4096 * 1024) {
+		ram_resource(dev, 5, 4096 * 1024, touud - (4096 * 1024));
+		printk(BIOS_INFO, "Available memory above 4GB: %lluM\n",
+		       (touud >> 10) - 4096);
+	}
+
+	add_fixed_resources(dev, 6);
+
+	assign_resources(dev->link_list);
+
+	set_top_of_ram(tomk * 1024);
+}
+
+	/* TODO We could determine how many PCIe busses we need in
+	 * the bar. For now that number is hardcoded to a max of 64.
+	 * See e7525/northbridge.c for an example.
+	 */
+static struct device_operations pci_domain_ops = {
+	.read_resources   = pci_domain_read_resources,
+	.set_resources    = pci_domain_set_resources,
+	.enable_resources = NULL,
+	.init             = NULL,
+	.scan_bus         = pci_domain_scan_bus,
+	.ops_pci_bus	  = pci_bus_default_ops,
+};
+
+static void mc_read_resources(device_t dev)
+{
+	struct resource *resource;
+
+	pci_dev_read_resources(dev);
+
+	/* So, this is one of the big mysteries in the coreboot resource
+	 * allocator. This resource should make sure that the address space
+	 * of the PCIe memory mapped config space bar. But it does not.
+	 */
+
+	/* We use 0xcf as an unused index for our PCIe bar so that we find it again */
+	resource = new_resource(dev, 0xcf);
+	resource->base = DEFAULT_PCIEXBAR;
+	resource->size = 64 * 1024 * 1024;	/* 64MB hard coded PCIe config space */
+	resource->flags =
+	    IORESOURCE_MEM | IORESOURCE_FIXED | IORESOURCE_STORED |
+	    IORESOURCE_ASSIGNED;
+	printk(BIOS_DEBUG, "Adding PCIe enhanced config space BAR 0x%08lx-0x%08lx.\n",
+		     (unsigned long)(resource->base), (unsigned long)(resource->base + resource->size));
+}
+
+static void mc_set_resources(device_t dev)
+{
+	struct resource *resource;
+
+	/* Report the PCIe BAR */
+	resource = find_resource(dev, 0xcf);
+	if (resource) {
+		report_resource_stored(dev, resource, "<mmconfig>");
+	}
+
+	/* And call the normal set_resources */
+	pci_dev_set_resources(dev);
+}
+
+static void intel_set_subsystem(device_t dev, unsigned vendor, unsigned device)
+{
+	if (!vendor || !device) {
+		pci_write_config32(dev, PCI_SUBSYSTEM_VENDOR_ID,
+				pci_read_config32(dev, PCI_VENDOR_ID));
+	} else {
+		pci_write_config32(dev, PCI_SUBSYSTEM_VENDOR_ID,
+				((device & 0xffff) << 16) | (vendor & 0xffff));
+	}
+}
+
+static void northbridge_dmi_init(struct device *dev)
+{
+	u32 reg32;
+
+	/* Clear error status bits */
+	DMIBAR32(0x1c4) = 0xffffffff;
+	DMIBAR32(0x1d0) = 0xffffffff;
+
+	/* Steps prior to DMI ASPM */
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_SNB) {
+		reg32 = DMIBAR32(0x250);
+		reg32 &= ~((1 << 22)|(1 << 20));
+		reg32 |= (1 << 21);
+		DMIBAR32(0x250) = reg32;
+	}
+
+	reg32 = DMIBAR32(0x238);
+	reg32 |= (1 << 29);
+	DMIBAR32(0x238) = reg32;
+
+	if (bridge_silicon_revision() >= SNB_STEP_D0) {
+		reg32 = DMIBAR32(0x1f8);
+		reg32 |= (1 << 16);
+		DMIBAR32(0x1f8) = reg32;
+	} else if (bridge_silicon_revision() >= SNB_STEP_D1) {
+		reg32 = DMIBAR32(0x1f8);
+		reg32 &= ~(1 << 26);
+		reg32 |= (1 << 16);
+		DMIBAR32(0x1f8) = reg32;
+
+		reg32 = DMIBAR32(0x1fc);
+		reg32 |= (1 << 12) | (1 << 23);
+		DMIBAR32(0x1fc) = reg32;
+	}
+
+	/* Enable ASPM on SNB link, should happen before PCH link */
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_SNB) {
+		reg32 = DMIBAR32(0xd04);
+		reg32 |= (1 << 4);
+		DMIBAR32(0xd04) = reg32;
+	}
+
+	reg32 = DMIBAR32(0x88);
+	reg32 |= (1 << 1) | (1 << 0);
+	DMIBAR32(0x88) = reg32;
+}
+
+static void northbridge_init(struct device *dev)
+{
+	u8 bios_reset_cpl;
+	u32 bridge_type;
+
+	northbridge_dmi_init(dev);
+
+	bridge_type = MCHBAR32(0x5f10);
+	bridge_type &= ~0xff;
+
+	if ((bridge_silicon_revision() & BASE_REV_MASK) == BASE_REV_IVB) {
+		/* Enable Power Aware Interrupt Routing */
+		u8 pair = MCHBAR8(0x5418);
+		pair &= ~0xf;	/* Clear 3:0 */
+		pair |= 0x4;	/* Fixed Priority */
+		MCHBAR8(0x5418) = pair;
+
+		/* 30h for IvyBridge */
+		bridge_type |= 0x30;
+	} else {
+		/* 20h for Sandybridge */
+		bridge_type |= 0x20;
+	}
+	MCHBAR32(0x5f10) = bridge_type;
+
+	/*
+	 * Set bit 0 of BIOS_RESET_CPL to indicate to the CPU
+	 * that BIOS has initialized memory and power management
+	 */
+	bios_reset_cpl = MCHBAR8(BIOS_RESET_CPL);
+	bios_reset_cpl |= 1;
+	MCHBAR8(BIOS_RESET_CPL) = bios_reset_cpl;
+	printk(BIOS_DEBUG, "Set BIOS_RESET_CPL\n");
+
+	/* Configure turbo power limits 1ms after reset complete bit */
+	mdelay(1);
+	set_power_limits(28);
+
+	/*
+	 * CPUs with configurable TDP also need power limits set
+	 * in MCHBAR.  Use same values from MSR_PKG_POWER_LIMIT.
+	 */
+	if (cpu_config_tdp_levels()) {
+		msr_t msr = rdmsr(MSR_PKG_POWER_LIMIT);
+		MCHBAR32(0x59A0) = msr.lo;
+		MCHBAR32(0x59A4) = msr.hi;
+	}
+
+	/* Set here before graphics PM init */
+	MCHBAR32(0x5500) = 0x00100001;
+}
+
+static void northbridge_enable(device_t dev)
+{
+#if CONFIG_HAVE_ACPI_RESUME
+	switch (pci_read_config32(dev, SKPAD)) {
+	case 0xcafebabe:
+		printk(BIOS_DEBUG, "Normal boot.\n");
+		acpi_slp_type=0;
+		break;
+	case 0xcafed00d:
+		printk(BIOS_DEBUG, "S3 Resume.\n");
+		acpi_slp_type=3;
+		break;
+	default:
+		printk(BIOS_DEBUG, "Unknown boot method, assuming normal.\n");
+		acpi_slp_type=0;
+		break;
+	}
+#endif
+}
+
+static struct pci_operations intel_pci_ops = {
+	.set_subsystem    = intel_set_subsystem,
+};
+
+static struct device_operations mc_ops = {
+	.read_resources   = mc_read_resources,
+	.set_resources    = mc_set_resources,
+	.enable_resources = pci_dev_enable_resources,
+	.init             = northbridge_init,
+	.enable           = northbridge_enable,
+	.scan_bus         = 0,
+	.ops_pci          = &intel_pci_ops,
+};
+
+static const struct pci_driver mc_driver_0100 __pci_driver = {
+	.ops    = &mc_ops,
+	.vendor = PCI_VENDOR_ID_INTEL,
+	.device = 0x0100,
+};
+
+static const struct pci_driver mc_driver __pci_driver = {
+	.ops    = &mc_ops,
+	.vendor = PCI_VENDOR_ID_INTEL,
+	.device = 0x0104, /* Sandy bridge */
+};
+
+static const struct pci_driver mc_driver_1 __pci_driver = {
+	.ops    = &mc_ops,
+	.vendor = PCI_VENDOR_ID_INTEL,
+	.device = 0x0154, /* Ivy bridge */
+};
+
+static void cpu_bus_init(device_t dev)
+{
+	initialize_cpus(dev->link_list);
+}
+
+static void cpu_bus_noop(device_t dev)
+{
+}
+
+static struct device_operations cpu_bus_ops = {
+	.read_resources   = cpu_bus_noop,
+	.set_resources    = cpu_bus_noop,
+	.enable_resources = cpu_bus_noop,
+	.init             = cpu_bus_init,
+	.scan_bus         = 0,
+};
+
+static void enable_dev(device_t dev)
+{
+	/* Set the operations if it is a special bus type */
+	if (dev->path.type == DEVICE_PATH_DOMAIN) {
+		dev->ops = &pci_domain_ops;
+	} else if (dev->path.type == DEVICE_PATH_CPU_CLUSTER) {
+		dev->ops = &cpu_bus_ops;
+	}
+}
+
+struct chip_operations northbridge_intel_sandybridge_ops = {
+	CHIP_NAME("Intel i7 (SandyBridge/IvyBridge) integrated Northbridge")
+	.enable_dev = enable_dev,
+};
diff --git a/src/northbridge/intel/sandyivy-native/ram_calc.c b/src/northbridge/intel/sandyivy-native/ram_calc.c
new file mode 100644
index 0000000..3693a07
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/ram_calc.c
@@ -0,0 +1,31 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011 Google Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#define __SIMPLE_DEVICE__
+
+#include <arch/io.h>
+#include <cbmem.h>
+#include "sandybridge.h"
+
+unsigned long get_top_of_ram(void)
+{
+	/* Base of TSEG is top of usable DRAM */
+	u32 tom = pci_read_config32(PCI_DEV(0,0,0), TSEG);
+	return (unsigned long) tom;
+}
diff --git a/src/northbridge/intel/sandyivy-native/raminit.c b/src/northbridge/intel/sandyivy-native/raminit.c
new file mode 100644
index 0000000..9b3011a
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/raminit.c
@@ -0,0 +1,1716 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2011 Google Inc.
+ * Copyright (C) 2014 Damien Zammit <damien at zamaudio.com>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <console/console.h>
+#include <console/usb.h>
+#include <bootmode.h>
+#include <string.h>
+#include <arch/hlt.h>
+#include <arch/cpu.h>
+#include <arch/io.h>
+#include <cbmem.h>
+#include <arch/cbfs.h>
+#include <cbfs.h>
+#include <ip_checksum.h>
+#include <pc80/mc146818rtc.h>
+#include <device/pci_def.h>
+#include "raminit.h"
+#include "sandybridge.h"
+#include <delay.h>
+
+/* Management Engine is in the southbridge */
+#include "southbridge/intel/bd82x6x/me.h"
+
+static const char *ecc_decoder[] = {
+	"inactive",
+	"active on IO",
+	"disabled on IO",
+	"active"
+};
+
+/*
+ * Dump in the log memory controller configuration as read from the memory
+ * controller registers.
+ */
+static void report_memory_config(void)
+{
+	u32 addr_decoder_common, addr_decode_ch[2];
+	int i;
+
+	addr_decoder_common = MCHBAR32(0x5000);
+	addr_decode_ch[0] = MCHBAR32(0x5004);
+	addr_decode_ch[1] = MCHBAR32(0x5008);
+
+	printk(BIOS_DEBUG, "memcfg DDR3 clock %d MHz\n",
+	       (MCHBAR32(0x5e04) * 13333 * 2 + 50) / 100);
+	printk(BIOS_DEBUG, "memcfg channel assignment: A: %d, B % d, C % d\n",
+	       addr_decoder_common & 3,
+	       (addr_decoder_common >> 2) & 3, (addr_decoder_common >> 4) & 3);
+
+	for (i = 0; i < ARRAY_SIZE(addr_decode_ch); i++) {
+		u32 ch_conf = addr_decode_ch[i];
+		printk(BIOS_DEBUG, "memcfg channel[%d] config (%8.8x):\n",
+		       i, ch_conf);
+		printk(BIOS_DEBUG, "   ECC %s\n",
+		       ecc_decoder[(ch_conf >> 24) & 3]);
+		printk(BIOS_DEBUG, "   enhanced interleave mode %s\n",
+		       ((ch_conf >> 22) & 1) ? "on" : "off");
+		printk(BIOS_DEBUG, "   rank interleave %s\n",
+		       ((ch_conf >> 21) & 1) ? "on" : "off");
+		printk(BIOS_DEBUG, "   DIMMA %d MB width x%d %s rank%s\n",
+		       ((ch_conf >> 0) & 0xff) * 256,
+		       ((ch_conf >> 19) & 1) ? 16 : 8,
+		       ((ch_conf >> 17) & 1) ? "dual" : "single",
+		       ((ch_conf >> 16) & 1) ? "" : ", selected");
+		printk(BIOS_DEBUG, "   DIMMB %d MB width x%d %s rank%s\n",
+		       ((ch_conf >> 8) & 0xff) * 256,
+		       ((ch_conf >> 20) & 1) ? 16 : 8,
+		       ((ch_conf >> 18) & 1) ? "dual" : "single",
+		       ((ch_conf >> 16) & 1) ? ", selected" : "");
+	}
+}
+
+static void post_system_agent_init(void)
+{
+	/* If PCIe init is skipped, set the PEG clock gating */
+	MCHBAR32(0x7010) = MCHBAR32(0x7010) | 0x01;
+}
+
+void dram_find_spds_ddr3(const dimm_layout * addr, dimm_info * dimm,
+			 ramctr_timing * ctrl)
+{
+	int i = 0;
+	int dimms = 0;
+	spd_raw_data spd;
+	static const u8 ch[4] = { 0, 0, 1, 1 };
+	static const u8 dm[4] = { 0, 1, 0, 1 };
+	ctrl->channelmap = 0;
+	for (i = 0; i < 4; i++) {
+		ctrl->rankmap[ch[i]][dm[i]] = 0;
+		if (addr->spd_addr[i] != 0x00) {
+			spd_read(addr->spd_addr[i], spd);
+			spd_decode_ddr3(&dimm->dimm[i], spd);
+			if (dimm->dimm[i].dram_type ==
+			    SPD_MEMORY_TYPE_SDRAM_DDR3) {
+				dram_print_spd_ddr3(&dimm->dimm[i]);
+				dimms++;
+				ctrl->thermalrefresh = spd[31];
+				ctrl->channelmap &= (1 << i);
+				ctrl->rankmap[ch[i]][dm[i]] =
+				    (dimm->dimm[i].ranks >=
+				     2) ? 0x3 : dimm->dimm[i].ranks;
+				printram("i=%d  rankmap[%d][%d] = %d\n", i,
+					 ch[i], dm[i],
+					 ctrl->rankmap[ch[i]][dm[i]]);
+			} else {
+				// set dimm invalid
+				dimm->dimm[i].ranks = 0;
+				dimm->dimm[i].size_mb = 0;
+			}
+		}
+	}
+	if (!dimms)
+		die("No DIMMs were found");
+}
+
+void dram_find_common_params(const dimm_info * dimms, ramctr_timing * ctrl)
+{
+	size_t i, valid_dimms;
+	ctrl->cas_supported = 0xff;
+	valid_dimms = 0;
+	for (i = 0; i < 4; i++) {
+		const dimm_attr *dimm = &dimms->dimm[i];
+		if (dimm->dram_type == SPD_MEMORY_TYPE_UNDEFINED)
+			continue;
+		valid_dimms++;
+
+		if (valid_dimms == 1) {
+			/* First DIMM defines the type of DIMM */
+			ctrl->dram_type = dimm->dram_type;
+		} else {
+			/* Check if we have mismatched DIMMs */
+			if (ctrl->dram_type != dimm->dram_type)
+				die("Mismatched DIMM Types");
+		}
+		/* Find all possible CAS combinations */
+		ctrl->cas_supported &= dimm->cas_supported;
+
+		/* Find the smallest common latencies supported by all DIMMs */
+		ctrl->tCK = MAX(ctrl->tCK, dimm->tCK);
+		ctrl->tAA = MAX(ctrl->tAA, dimm->tAA);
+		ctrl->tWR = MAX(ctrl->tWR, dimm->tWR);
+		ctrl->tRCD = MAX(ctrl->tRCD, dimm->tRCD);
+		ctrl->tRRD = MAX(ctrl->tRRD, dimm->tRRD);
+		ctrl->tRP = MAX(ctrl->tRP, dimm->tRP);
+		ctrl->tRAS = MAX(ctrl->tRAS, dimm->tRAS);
+		ctrl->tRC = MAX(ctrl->tRC, dimm->tRC);
+		ctrl->tRFC = MAX(ctrl->tRFC, dimm->tRFC);
+		ctrl->tWTR = MAX(ctrl->tWTR, dimm->tWTR);
+		ctrl->tRTP = MAX(ctrl->tRTP, dimm->tRTP);
+		ctrl->tFAW = MAX(ctrl->tFAW, dimm->tFAW);
+	}
+
+	ctrl->n_dimms = valid_dimms;
+	if (!ctrl->cas_supported)
+		die("Unsupported DIMM combination. "
+		    "DIMMS do not support common CAS latency");
+	if (!valid_dimms)
+		die("No valid DIMMs found");
+
+	ctrl->dualchannel =
+	    (pcie_read_config32(PCI_DEV(0, 0, 0), 0xE4) & 0x4000) >> 14;
+	if (ctrl->dualchannel) {
+		printram("Dual channel supported\n");
+	} else {
+		printram("Dual channel not supported\n");
+	}
+}
+
+static u8 get_CWL(u8 CAS)
+{
+	/* Get CWL based on CAS using the following rule:
+	 *       _________________________________________
+	 * CAS: | 4T | 5T | 6T | 7T | 8T | 9T | 10T | 11T |
+	 * CWL: | 5T | 5T | 5T | 6T | 6T | 7T |  7T |  8T |
+	 */
+	static const u8 cas_cwl_map[] = { 5, 5, 5, 6, 6, 7, 7, 8 };
+	if (CAS > 11)
+		return 8;
+	return cas_cwl_map[CAS - 4];
+}
+
+static u32 get_REFI(u32 tCK)
+{
+	/* Get REFI based on MCU frequency using the following rule:
+	 *        _________________________________________
+	 * FRQ : | 3    | 4    | 5    | 6    | 7    | 8    |
+	 * REFI: | 3120 | 4160 | 5200 | 6240 | 7280 | 8320 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u32 frq_refi_map[] =
+	    { 3120, 4160, 5200, 6240, 7280, 8320 };
+	if (FRQ > 8)
+		return 8320;
+	return frq_refi_map[FRQ - 3];
+}
+
+static u8 get_XSOffset(u32 tCK)
+{
+	/* Get XSOffset based on MCU frequency using the following rule:
+	 *             _________________________
+	 * FRQ      : | 3 | 4 | 5 | 6 | 7  | 8  |
+	 * XSOffset : | 4 | 6 | 7 | 8 | 10 | 11 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_xs_map[] = { 4, 6, 7, 8, 10, 11 };
+	if (FRQ > 8)
+		return 11;
+	return frq_xs_map[FRQ - 3];
+}
+
+static u8 get_MOD(u32 tCK)
+{
+	/* Get MOD based on MCU frequency using the following rule:
+	 *        _____________________________
+	 * FRQ : | 3  | 4  | 5  | 6  | 7  | 8  |
+	 * MOD : | 12 | 12 | 12 | 12 | 15 | 16 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_mod_map[] = { 12, 12, 12, 12, 15, 16 };
+	if (FRQ > 8)
+		return 16;
+	return frq_mod_map[FRQ - 3];
+}
+
+static u8 get_WLO(u32 tCK)
+{
+	/* Get WLO based on MCU frequency using the following rule:
+	 *        _______________________
+	 * FRQ : | 3 | 4 | 5 | 6 | 7 | 8 |
+	 * WLO : | 4 | 5 | 6 | 6 | 8 | 8 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_wlo_map[] = { 4, 5, 6, 6, 8, 8 };
+	if (FRQ > 8)
+		return 8;
+	return frq_wlo_map[FRQ - 3];
+}
+
+static u8 get_CKE(u32 tCK)
+{
+	/* Get CKE based on MCU frequency using the following rule:
+	 *        _______________________
+	 * FRQ : | 3 | 4 | 5 | 6 | 7 | 8 |
+	 * CKE : | 3 | 3 | 4 | 4 | 5 | 6 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_cke_map[] = { 3, 3, 4, 4, 5, 6 };
+	if (FRQ > 8)
+		return 6;
+	return frq_cke_map[FRQ - 3];
+}
+
+static u8 get_XPDLL(u32 tCK)
+{
+	/* Get XPDLL based on MCU frequency using the following rule:
+	 *          _____________________________
+	 * FRQ   : | 3  | 4  | 5  | 6  | 7  | 8  |
+	 * XPDLL : | 10 | 13 | 16 | 20 | 23 | 26 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_xpdll_map[] = { 10, 13, 16, 20, 23, 26 };
+	if (FRQ > 8)
+		return 26;
+	return frq_xpdll_map[FRQ - 3];
+}
+
+static u8 get_XP(u32 tCK)
+{
+	/* Get XP based on MCU frequency using the following rule:
+	 *        _______________________
+	 * FRQ : | 3 | 4 | 5 | 6 | 7 | 8 |
+	 * XP  : | 3 | 4 | 4 | 5 | 6 | 7 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_xp_map[] = { 3, 4, 4, 5, 6, 7 };
+	if (FRQ > 8)
+		return 7;
+	return frq_xp_map[FRQ - 3];
+}
+
+static u8 get_AONPD(u32 tCK)
+{
+	/* Get AONPD based on MCU frequency using the following rule:
+	 *          ________________________
+	 * FRQ   : | 3 | 4 | 5 | 6 | 7 | 8  |
+	 * AONPD : | 4 | 5 | 6 | 8 | 8 | 10 |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u8 frq_aonpd_map[] = { 4, 5, 6, 8, 8, 10 };
+	if (FRQ > 8)
+		return 10;
+	return frq_aonpd_map[FRQ - 3];
+}
+
+static u32 get_COMP2(u32 tCK)
+{
+	/* Get COMP2 based on MCU frequency using the following rule:
+	 *         ___________________________________________________________
+	 * FRQ  : | 3       | 4       | 5       | 6       | 7       | 8       |
+	 * COMP : | D6BEDCC | CE7C34C | CA57A4C | C6369CC | C42514C | C21410C |
+	 */
+	u32 FRQ = (u32) 256000 / (tCK * BASEFREQ);
+	static const u32 frq_comp2_map[] = { 0xD6BEDCC, 0xCE7C34C, 0xCA57A4C,
+		0xC6369CC, 0xC42514C, 0xC21410C
+	};
+	if (FRQ > 8)
+		return 0xD6BEDCC;
+	return frq_comp2_map[FRQ - 3];
+}
+
+static void dram_timing(ramctr_timing * ctrl)
+{
+	u8 reg8, val, tFAW, tRRD;
+	u32 val32;
+
+	/* Maximum supported DDR3 frequency is 1066MHz (DDR3 2133) so make sure
+	 * we cap it if we have faster DIMMs.
+	 * Then, align it to the closest JEDEC standard frequency */
+	if (ctrl->tCK <= TCK_1066MHZ) {
+		ctrl->tCK = TCK_1066MHZ;
+	} else if (ctrl->tCK <= TCK_933MHZ) {
+		ctrl->tCK = TCK_933MHZ;
+	} else if (ctrl->tCK <= TCK_800MHZ) {
+		ctrl->tCK = TCK_800MHZ;
+	} else if (ctrl->tCK <= TCK_666MHZ) {
+		ctrl->tCK = TCK_666MHZ;
+	} else {
+		ctrl->tCK = TCK_533MHZ;
+	}
+
+	val32 = (1000 << 8) / ctrl->tCK;
+	printram("Selected DRAM frequency: %u MHz\n", val32);
+
+	/* Find CAS and CWL latencies */
+	val = (ctrl->tAA + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Minimum  CAS latency   : %uT\n", val);
+	/* Find lowest supported CAS latency that satisfies the minimum value */
+	while (!((ctrl->cas_supported >> (val - 4)) & 1)
+	       && (ctrl->cas_supported >> (val - 4))) {
+		val++;
+	}
+	/* Is CAS supported */
+	if (!(ctrl->cas_supported & (1 << (val - 4))))
+		printram("CAS not supported\n");
+	printram("Selected CAS latency   : %uT\n", val);
+	ctrl->CAS = val;
+	ctrl->CWL = get_CWL(ctrl->CAS);
+	printram("Selected CWL latency   : %uT\n", ctrl->CWL);
+
+	/* Find tRCD */
+	val = (ctrl->tRCD + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tRCD          : %uT\n", val);
+	reg8 = ((val - 4) & 0x7) << 4;
+
+	/* Find tRP */
+	val = (ctrl->tRP + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tRP           : %uT\n", val);
+	reg8 |= ((val - 4) & 0x7);
+
+	/* Find tRAS */
+	val = (ctrl->tRAS + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tRAS          : %uT\n", val);
+
+	/* Find tWR */
+	ctrl->WR = (ctrl->tWR + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tWR           : %uT\n", ctrl->WR);
+
+	/* Find tFAW */
+	tFAW = (ctrl->tFAW + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tFAW          : %uT\n", tFAW);
+
+	/* Find tRRD */
+	tRRD = (ctrl->tRRD + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tRRD          : %uT\n", tRRD);
+
+	/* Find tRTP */
+	val = (ctrl->tRTP + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tRTP          : %uT\n", val);
+
+	/* Find tWTR */
+	val = (ctrl->tWTR + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Selected tWTR          : %uT\n", val);
+
+	/* Refresh-to-Active or Refresh-to-Refresh (tRFC) */
+	val = (ctrl->tRFC + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Minimum  tRFC          : %uT\n", val);
+	if (val < 30) {
+		val = 0;
+	} else {
+		val = (val - 30 + 1) / 2;
+	}
+	printram("Selected tRFC          : %uT\n", 30 + 2 * val);
+	ctrl->tRFC = val;
+
+	val = (ctrl->tRC + ctrl->tCK - 1) / ctrl->tCK;
+	printram("Required tRC           : %uT\n", val);
+
+	ctrl->tREFI = get_REFI(ctrl->tCK);
+	ctrl->tMOD = get_MOD(ctrl->tCK);
+	ctrl->tXSOffset = get_XSOffset(ctrl->tCK);
+	ctrl->tWLO = get_WLO(ctrl->tCK);
+	ctrl->tCKE = get_CKE(ctrl->tCK);
+	ctrl->tXPDLL = get_XPDLL(ctrl->tCK);
+	ctrl->tXP = get_XP(ctrl->tCK);
+	ctrl->tAONPD = get_AONPD(ctrl->tCK);
+}
+
+static void dram_freq(ramctr_timing * ctrl)
+{
+	u8 val1, val2;
+	u32 reg1 = 0;
+
+	/* Step 1 - Set target PCU frequency */
+	if (ctrl->tCK <= TCK_1066MHZ) {
+		val1 = 0x08;
+		ctrl->tCK = TCK_1066MHZ;
+	} else if (ctrl->tCK <= TCK_933MHZ) {
+		val1 = 0x07;
+		ctrl->tCK = TCK_933MHZ;
+	} else if (ctrl->tCK <= TCK_800MHZ) {
+		val1 = 0x06;
+		ctrl->tCK = TCK_800MHZ;
+	} else if (ctrl->tCK <= TCK_666MHZ) {
+		val1 = 0x05;
+		ctrl->tCK = TCK_666MHZ;
+	} else {
+		val1 = 0x04;
+		ctrl->tCK = TCK_533MHZ;
+	}
+
+	/* Step 2 - Select frequency in the MCU */
+	reg1 = val1;
+	reg1 |= 0x80000000;	// set running bit
+	MCHBAR32(0x5e00) = reg1;
+	while (reg1 >> 0x1f) {
+		printram(" PLL busy...");
+		reg1 = MCHBAR32(0x5e00);
+	}
+	printram("done\n");
+
+	/* Step 3 - Verify lock frequency */
+	reg1 = MCHBAR32(0x5e04);
+	val2 = (u8) reg1;
+	if (val2 > val1) {
+		printram("Lock frequency is lower, recalculating\n");
+		switch (val2) {
+		case 8:
+			ctrl->tCK = TCK_1066MHZ;
+			break;
+		case 7:
+			ctrl->tCK = TCK_933MHZ;
+			break;
+		case 6:
+			ctrl->tCK = TCK_800MHZ;
+			break;
+		case 5:
+			ctrl->tCK = TCK_666MHZ;
+			break;
+		case 4:
+			ctrl->tCK = TCK_533MHZ;
+			break;
+		default:
+			printram("ERROR: PLL is off or unknown\n");
+			break;
+		}
+		dram_timing(ctrl);	// recalculate timings
+	}
+	printram("MCU frequency is set at : %d MHz\n", (1000 << 8) / ctrl->tCK);
+}
+
+static void dram_xover(ramctr_timing * ctrl)
+{
+	size_t ch;
+	u32 reg, addr;
+	u8 rmap;
+	for (ch = 0; ch < 2; ch++) {
+		// enable xover clk
+		reg = 0;
+		rmap = (ctrl->rankmap[ch][0] & (ctrl->rankmap[ch][1] << 2));
+		reg = (reg & ~0xf000000) | (rmap << 0x18);
+		addr = (ch == 0) ? 0xc14 : 0xd14;
+		MCHBAR32(addr) = reg;
+
+		// enable xover ctl
+		reg = 0;
+		if ((ctrl->rankmap[ch][0] & 0x1) | (ctrl->rankmap[ch][1] & 0x1)) {
+			reg = (reg & ~0x20000) | (1 << 0x11);
+		}
+		if ((ctrl->rankmap[ch][0] & 0x2) | (ctrl->rankmap[ch][1] & 0x2)) {
+			reg = (reg & ~0x4000000) | (1 << 0x1a);
+		}
+		// enable xover cmd
+		reg = (reg & ~0x4000) | (1 << 0xe);
+		addr = (ch == 0) ? 0x320c : 0x330c;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static void dram_timing_regs(ramctr_timing * ctrl)
+{
+	size_t ch;
+	u32 reg, addr, val32, cpu, stretch;
+	u8 val;
+	struct cpuid_result cpures;
+
+	for (ch = 0; ch < 2; ch++) {
+		// DBP
+		reg = 0;
+		val = (ctrl->tRCD + ctrl->tCK - 1) / ctrl->tCK;
+		reg = (reg & ~0xf) | val;
+		val = (ctrl->tRP + ctrl->tCK - 1) / ctrl->tCK;
+		reg = (reg & ~0xf0) | (val << 0x4);
+		val = ctrl->CAS;
+		reg = (reg & ~0xf00) | (val << 0x8);
+		val = ctrl->CWL;
+		reg = (reg & ~0xf000) | (val << 0xc);
+		val = (ctrl->tRAS + ctrl->tCK - 1) / ctrl->tCK;
+		reg = (reg & ~0xff0000) | (val << 0x10);
+		addr = (ch == 0 ? 0x4000 : 0x4400);
+		MCHBAR32(addr) = reg;
+
+		// RAP
+		reg = 0;
+		val = ctrl->tRRD;
+		reg = (reg & ~0xf) | val;
+		val = ctrl->tRTP;
+		reg = (reg & ~0xf0) | (val << 0x4);
+		val = ctrl->tCKE;
+		reg = (reg & ~0xf00) | (val << 0x8);
+		val = ctrl->tWTR;
+		reg = (reg & ~0xf000) | (val << 0xc);
+		val = ctrl->tFAW;
+		reg = (reg & ~0xff0000) | (val << 0x10);
+		val = ctrl->tWR;
+		reg = (reg & ~0x1f000000) | (val << 0x18);
+		reg = (reg & ~0xc0000000) | (3 << 0x1e);
+		addr = (ch == 0 ? 0x4004 : 0x4404);
+		MCHBAR32(addr) = reg;
+
+		// OTHP
+		addr = (ch == 0 ? 0x400c : 0x440c);
+		reg = MCHBAR32(addr);
+		val = ctrl->tXPDLL;
+		reg = (reg & ~0x1f) | val;
+		val = ctrl->tXP;
+		reg = (reg & ~0xe0) | (val << 0x5);
+		val = ctrl->tAONPD;
+		reg = (reg & ~0xf00) | (val << 0x8);
+		MCHBAR32(addr) = reg;
+
+		// ODT stretch
+		reg = 0;
+
+		cpures = cpuid(0);
+		cpu = cpures.eax;
+		if (IS_IVY_CPU(cpu)
+		    || (IS_SANDY_CPU(cpu) && IS_SANDY_CPU_D2(cpu))) {
+			stretch = 2;
+			addr = (ch == 0 ? 0x400c : 0x440c);
+			reg = MCHBAR32(addr);
+
+			if ((ctrl->rankmap[ch][0] == 0) ||
+			    ctrl->rankmap[ch][1] == 0) {
+
+				// Rank 0 - operate on rank 2
+				reg = (reg & ~0xc0000) | (stretch << 0x12);
+
+				// Rank 2 - operate on rank 0
+				reg = (reg & ~0x30000) | (stretch << 0x10);
+
+				addr = (ch == 0 ? 0x400c : 0x440c);
+				MCHBAR32(addr) = reg;
+			}
+
+		} else if (IS_SANDY_CPU(cpu) && IS_SANDY_CPU_C(cpu)) {
+			stretch = 3;
+			addr = (ch == 0 ? 0x401c : 0x441c);
+			reg = MCHBAR32(addr);
+
+			if ((ctrl->rankmap[ch][0] == 0) ||
+			    ctrl->rankmap[ch][1] == 0) {
+
+				// Rank 0 - operate on rank 2
+				reg = (reg & ~0x3000) | (stretch << 0xc);
+
+				// Rank 2 - operate on rank 0
+				reg = (reg & ~0xc00) | (stretch << 0xa);
+
+				addr = (ch == 0 ? 0x401c : 0x441c);
+				MCHBAR32(addr) = reg;
+			}
+		} else {
+			stretch = 0;
+		}
+
+		// REFI
+		reg = 0;
+		val32 = ctrl->tREFI;
+		reg = (reg & ~0xffff) | val32;
+		val32 = ctrl->tRFC;
+		reg = (reg & ~0x1ff0000) | (val32 << 0x10);
+		val32 = (u32) (ctrl->tREFI * 9) / 1024;
+		reg = (reg & ~0xfe000000) | (val32 << 0x19);
+		addr = (ch == 0 ? 0x4298 : 0x4698);
+		MCHBAR32(addr) = reg;
+
+		// SRFTP
+		reg = 0;
+		val32 = tDLLK;
+		reg = (reg & ~0xfff) | val32;
+		val32 = ctrl->tXSOffset;
+		reg = (reg & ~0xf000) | (val32 << 0xc);
+		val32 = tDLLK - ctrl->tXSOffset;
+		reg = (reg & ~0x3ff0000) | (val32 << 0x10);
+		val32 = ctrl->tMOD - 8;
+		reg = (reg & ~0xf0000000) | (val32 << 0x1c);
+		addr = (ch == 0 ? 0x42a4 : 0x46a4);
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static void dram_dimm_mapping(dimm_info * info, ramctr_timing * ctrl)
+{
+	size_t ch;
+	u32 reg, addr, val32;
+	for (ch = 0; ch < 2; ch++) {
+		dimm_attr *dimmA = 0;
+		dimm_attr *dimmB = 0;
+		reg = 0;
+		val32 = 0;
+		addr = 0;
+		if (info->dimm[2 * ch].size_mb >=
+		    info->dimm[2 * ch + 1].size_mb) {
+			// dimm 0 is bigger, set it to dimmA
+			dimmA = &info->dimm[2 * ch];
+			dimmB = &info->dimm[2 * ch + 1];
+			reg = (reg & ~0x10000) | (0 << 0x10);
+		} else {
+			// dimm 1 is bigger, set it to dimmA
+			dimmA = &info->dimm[2 * ch + 1];
+			dimmB = &info->dimm[2 * ch];
+			reg = (reg & ~0x10000) | (1 << 0x10);
+			// swap dimm info ?
+		}
+		// dimmA
+		if (dimmA && (dimmA->ranks > 0)) {
+			val32 = dimmA->size_mb / 256;
+			reg = (reg & ~0xff) | val32;
+			val32 = dimmA->ranks - 1;
+			reg = (reg & ~0x20000) | (val32 << 0x11);
+			val32 = (dimmA->width / 8) - 1;
+			reg = (reg & ~0x80000) | (val32 << 0x13);
+		}
+		// dimmB
+		if (dimmB && (dimmB->ranks > 0)) {
+			val32 = dimmB->size_mb / 256;
+			reg = (reg & ~0xff00) | (val32 << 0x8);
+			val32 = dimmB->ranks - 1;
+			reg = (reg & ~0x40000) | (val32 << 0x12);
+			val32 = (dimmB->width / 8) - 1;
+			reg = (reg & ~0x100000) | (val32 << 0x14);
+		}
+		reg = (reg & ~0x200000) | (1 << 0x15);	// rank interleave
+		reg = (reg & ~0x400000) | (1 << 0x16);	// enhanced interleave
+
+		// Set MAD-DIMM register
+		addr = 0x5004 + ch * 4;
+		if ((dimmA && (dimmA->ranks > 0)) ||
+		    (dimmB && (dimmB->ranks > 0))) {
+			MCHBAR32(addr) = reg;
+		}
+	}
+}
+
+static void dram_zones(dimm_info * info, ramctr_timing * ctrl)
+{
+	u32 reg, addr, ch0size, ch1size;
+	u8 val;
+	reg = 0;
+	addr = 0;
+	val = 0;
+	ch0size = info->dimm[0].size_mb + info->dimm[1].size_mb;
+	ch1size = info->dimm[2].size_mb + info->dimm[3].size_mb;
+
+	if (ch0size >= ch1size) {
+		addr = 0x5000;
+		reg = MCHBAR32(addr);
+		reg = (reg & ~0xf) | 0xc;
+		MCHBAR32(addr) = reg;
+
+		addr = 0x5014;
+		reg = MCHBAR32(addr);
+		val = ch1size / 256;
+		reg = (reg & ~0xff000000) | val << 0x18;
+		reg = (reg & ~0xff0000) | (2 * val) << 0x10;
+		MCHBAR32(addr) = reg;
+	} else {
+		addr = 0x5000;
+		reg = MCHBAR32(addr);
+		reg = (reg & ~0xf) | 0x3;
+		MCHBAR32(addr) = reg;
+
+		addr = 0x5014;
+		reg = MCHBAR32(addr);
+		val = ch0size / 256;
+		reg = (reg & ~0xff000000) | val << 0x18;
+		reg = (reg & ~0xff0000) | (2 * val) << 0x10;
+		MCHBAR32(addr) = reg;
+	}
+
+	reg = MCHBAR32(0x5000);
+	reg = (reg & ~0x18) | (1 << 4);
+	MCHBAR32(0x5000) = reg;
+}
+
+static void dram_memorymap(dimm_info * info)
+{
+	u32 reg, val, reclaim;
+	u32 tom, gfxstolen, gttsize, mestolen;
+	size_t tsegsize, mmiosize, toludbase, touudbase, gfxstolenbase, gttbase,
+	    tsegbase, mestolenbase;
+	size_t tsegbasetmp, tsegbasedelta, remapbase, remaplimit;
+
+	// TODO Make these configurable
+	gfxstolen = 128;
+	gttsize = 1;
+	mestolen = 32;
+	mmiosize = 0x400;
+	tsegsize = 4096;
+	//
+
+	tom = info->dimm[0].size_mb + info->dimm[1].size_mb
+	    + info->dimm[2].size_mb + info->dimm[3].size_mb;
+
+	mestolenbase = tom - mestolen;
+
+	toludbase = MIN(4096 - mmiosize, tom - mestolen);
+	gfxstolenbase = toludbase - gfxstolen;
+	gttbase = gfxstolenbase - gttsize;
+
+	tsegbase = gttbase - tsegsize;
+	tsegbasetmp = tsegbase;
+
+	// Round tsegbase down to nearest address aligned to tsegsize
+	tsegbase /= tsegsize;
+	tsegbase *= tsegsize;
+
+	tsegbasedelta = tsegbasetmp - tsegbase;
+	gttbase -= tsegbasedelta;
+	gfxstolenbase -= tsegbasedelta;
+	toludbase -= tsegbasedelta;
+
+	// Test if it is possible to reclaim a hole in the ram addressing
+	if (tom - mestolen > toludbase) {
+		// Reclaim is possible
+		reclaim = 1;
+		remapbase = MAX(4096, tom - mestolen);
+		remaplimit = remapbase +
+		    MIN(4096, tom - mestolen) - toludbase - 1;
+		touudbase = remaplimit + 1;
+	} else {
+		// Reclaim not possible
+		reclaim = 0;
+		touudbase = tom - mestolen;
+	}
+
+	// Update memory map in pci-e configuration space
+
+	// TOM (top of memory)
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xa0);
+	val = tom & 0xfff;
+	reg = (reg & ~0xfff00000) | (val << 20);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xa0, reg);
+
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xa4);
+	val = tom & 0xfffff000;
+	reg = (reg & ~0x000fffff) | (val >> 12);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xa4, reg);
+
+	// TOLUD (top of low used dram)
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xbc);
+	val = toludbase & 0xfff;
+	reg = (reg & ~0xfff00000) | (val << 20);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xbc, reg);
+
+	// TOUUD MSB (top of upper usable dram)
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xa8);
+	val = touudbase & 0xfff;
+	reg = (reg & ~0xfff00000) | (val << 20);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xa8, reg);
+
+	// TOUUD LSB
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xac);
+	val = touudbase & 0xfffff000;
+	reg = (reg & ~0x000fffff) | (val >> 12);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xac, reg);
+
+	if (reclaim) {
+		// REMAP BASE
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x94);
+		val = remapbase & 0xfffff000;
+		reg = (reg & ~0x000fffff) | (val >> 12);
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x94, reg);
+
+		// REMAP LIMIT
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x98);
+		val = remaplimit & 0xfff;
+		reg = (reg & ~0xfff00000) | (val << 20);
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x98, reg);
+
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x9c);
+		val = remaplimit & 0xfffff000;
+		reg = (reg & ~0x000fffff) | (val >> 12);
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x9c, reg);
+	}
+	// TSEG
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xb8);
+	val = tsegbase & 0xfff;
+	reg = (reg & ~0xfff00000) | (val << 20);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xb8, reg);
+
+	// GFX stolen memory
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xb0);
+	val = gfxstolenbase & 0xfff;
+	reg = (reg & ~0xfff00000) | (val << 20);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xb0, reg);
+
+	// GTT stolen memory
+	reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0xb4);
+	val = gttbase & 0xfff;
+	reg = (reg & ~0xfff00000) | (val << 20);
+	pcie_write_config32(PCI_DEV(0, 0, 0), 0xb4, reg);
+
+	if (mestolen) {
+		// ME mask
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x78);
+		val = (0x80000 - mestolen) & 0xfff;
+		reg = (reg & ~0xfff00000) | (val << 20);
+		reg = (reg & ~0x400) | (1 << 10);	// set lockbit on ME mem
+
+		//Do later
+		//reg = (reg & ~0x800) | (1 << 11); // set ME memory enable
+
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x78, reg);
+
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x7c);
+		val = (0x80000 - mestolen) & 0xfffff000;
+		reg = (reg & ~0x000fffff) | (val >> 12);
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x7c, reg);
+
+		// ME base
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x70);
+		val = (0x80000 - mestolenbase) & 0xfff;
+		reg = (reg & ~0xfff00000) | (val << 20);
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x70, reg);
+
+		reg = pcie_read_config32(PCI_DEV(0, 0, 0), 0x74);
+		val = (0x80000 - mestolenbase) & 0xfffff000;
+		reg = (reg & ~0x000fffff) | (val >> 12);
+		pcie_write_config32(PCI_DEV(0, 0, 0), 0x74, reg);
+	}
+}
+
+static void dram_ioregs(ramctr_timing * ctrl, u32 cpu)
+{
+	u32 reg, ch0rank, ch1rank, addr, comp2;
+	u8 clkpi, ch, clklogicdelay;
+
+	u8 initialclkpi = 8;
+	u8 initialclklogicdelay = 0;
+
+	ch0rank = ctrl->rankmap[0][0] & (ctrl->rankmap[0][1] << 2);
+	ch1rank = ctrl->rankmap[1][0] & (ctrl->rankmap[1][1] << 2);
+
+	// IO clock
+	MCHBAR32(0xc00) = ch0rank;
+	MCHBAR32(0xd00) = ch1rank;
+
+	// IO command
+	MCHBAR32(0x3200) = ch0rank;
+	MCHBAR32(0x3300) = ch1rank;
+
+	// IO control
+	for (ch = 0; ch < 2; ch++) {
+		// Read S
+		addr = 0x350c;
+		addr |= (ch == 0) ? 0xe00 : 0xf00;
+		reg = MCHBAR32(addr);
+
+		// Cmd pi and logic delay
+		reg &= ~0x3f;
+		reg &= ~0x1000;
+
+		// Drive broadcast to S and N
+		if (ctrl->channelmap & (1 << (2 * ch))) {	/* chxdimmA */
+			// Ctl pi and logic delay
+			reg &= ~0xfc0;
+			reg &= ~0x8000;
+		}
+		if (ctrl->channelmap & (1 << (2 * ch + 1))) {	/* chxdimmB */
+			// Ctl pi and logic delay
+			reg &= ~0xfc0000;
+			reg &= ~0x1000000;
+		}
+		MCHBAR32(addr) = reg;
+
+		// Clk pi
+		addr = 0x3614;
+		addr |= (ch == 0) ? 0xc00 : 0xd00;
+		reg = MCHBAR32(addr);
+
+		clkpi = initialclkpi;
+		reg = (reg & ~0x3f) | clkpi;	//rank0
+		reg = (reg & ~0xfc0) | (clkpi << 0x6);	//rank1
+		reg = (reg & ~0x3f000) | (clkpi << 0xc);	//rank2
+		reg = (reg & ~0xfc0000) | (clkpi << 0x12);	//rank3
+		MCHBAR32(addr) = reg;
+
+		clklogicdelay = initialclklogicdelay;
+		addr = 0x3618;
+		addr |= (ch == 0) ? 0xc00 : 0xd00;
+		reg = MCHBAR32(addr);
+		reg = (reg & ~0x1) | clklogicdelay;
+		reg = (reg & ~0x2) | (clklogicdelay << 1);
+		reg = (reg & ~0x4) | (clklogicdelay << 2);
+		reg = (reg & ~0x8) | (clklogicdelay << 3);
+		MCHBAR32(addr) = reg;
+	}
+
+	// Rcomp
+	printram("RCOMP...");
+	reg = 0;
+	while (reg == 0) {
+		reg = MCHBAR32(0x5084) & 0x10000;
+	}
+	printram("done\n");
+
+	// Set comp2
+	comp2 = get_COMP2(ctrl->tCK);
+	MCHBAR32(0x3714) = comp2;
+	printram("COMP2 done\n");
+
+	// Set comp1
+	reg = MCHBAR32(0x1810);	//ch0
+	reg = (reg & ~0xe00) | (1 << 0x9);	//odt
+	reg = (reg & ~0xe00000) | (1 << 0x15);	//clk drive up
+	reg = (reg & ~0x38000000) | (1 << 0x1b);	//ctl drive up
+	MCHBAR32(0x1810) = reg;
+
+	reg = MCHBAR32(0x1910);	//ch1
+	reg = (reg & ~0xe00) | (1 << 0x9);	//odt
+	reg = (reg & ~0xe00000) | (1 << 0x15);	//clk drive up
+	reg = (reg & ~0x38000000) | (1 << 0x1b);	//ctl drive up
+	MCHBAR32(0x1910) = reg;
+	printram("COMP1 done\n");
+
+	printram("FORCE RCOMP and wait 20us...");
+	reg = MCHBAR32(0x5f08);
+	reg = (reg & ~0x100) | (1 << 0x8);
+	MCHBAR32(0x5f08) = reg;
+	udelay(20);
+	printram("done\n");
+}
+
+static void dram_jedecreset(ramctr_timing * ctrl)
+{
+	u32 reg, addr, rmap, rank;
+	u8 ch, chw;
+
+	// Set state of memory controller
+	reg = 0x112;
+	addr = 0x5030;
+	MCHBAR32(addr) = reg;
+	MCHBAR32(0x4ea0) = 0;
+	reg = (reg & ~0x2) | (1 << 0x1);	//ddr reset
+	MCHBAR32(addr) = reg;
+
+	// Assert dimm reset signal
+	reg = MCHBAR32(addr);
+	reg &= ~0x2;
+	MCHBAR32(addr) = reg;
+
+	// Wait 200us
+	udelay(200);
+
+	// Deassert dimm reset signal
+	reg = MCHBAR32(addr);
+	reg = (reg & ~0x2) | (1 << 0x1);
+	MCHBAR32(addr) = reg;
+
+	// Wait 500us
+	udelay(500);
+
+	// Enable DCLK
+	reg = MCHBAR32(addr);
+	reg = (reg & ~0x4) | (1 << 0x2);
+	MCHBAR32(addr) = reg;
+
+	// XXX Wait 20ns
+	//udelay(0.02);
+
+	for (ch = 0; ch < 2; ch++) {
+		// Set valid rank CKE
+		reg = 0;
+		rmap = ctrl->rankmap[ch][0] & (ctrl->rankmap[ch][1] << 2);
+		reg = (reg & ~0xf) | rmap;
+		addr = (ch == 0) ? 0x42a0 : 0x46a0;
+		MCHBAR32(addr) = reg;
+
+		// Wait 10ns for ranks to settle
+		//udelay(0.01);
+
+		reg = (reg & ~0xf0) | (rmap << 0x4);
+		MCHBAR32(addr) = reg;
+
+		// Write reset using a NOP
+		reg = 0;
+		chw = (ctrl->rankmap[0][0] | ctrl->rankmap[0][1]) ? 0 : 1;
+		rank = (ctrl->rankmap[chw][0]) ? 0 : 2;
+		addr = (chw == 0) ? 0x428c : 0x468c;
+		do {
+			reg = MCHBAR32(addr);
+		} while ((reg & 0x14) == 0);
+
+		reg = 0;
+		reg = (reg & ~0xff) | 1;
+		reg = (reg & ~0x400000) | (1 << 0x16);
+		addr = (chw == 0) ? 0x4284 : 0x4684;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static odtmap get_ODT(ramctr_timing * ctrl, u8 rank)
+{
+	/* Get ODT based on rankmap: */
+	u8 dimms_per_ch, table;
+	u8 ch0dimmA, ch0dimmB, ch1dimmA, ch1dimmB;
+	static const odtmap odt_map[4][6] = {
+		{{60, 60}, {60, 60}, {120, 30}, {120, 30}, {120, 30},
+		 {120, 30}},
+		{{0, 0}, {60, 60}, {0, 0}, {0, 0}, {120, 30}, {120, 30}},
+		{{60, 60}, {60, 60}, {120, 30}, {120, 30}, {120, 30},
+		 {120, 30}},
+		{{0, 0}, {60, 60}, {0, 0}, {120, 30}, {0, 0}, {120, 30}}
+	};
+	ch0dimmA = (ctrl->rankmap[0][0] != 0) ? 1 : 0;
+	ch0dimmB = (ctrl->rankmap[0][1] != 0) ? 1 : 0;
+	ch1dimmA = (ctrl->rankmap[1][0] != 0) ? 1 : 0;
+	ch1dimmB = (ctrl->rankmap[1][1] != 0) ? 1 : 0;
+
+	dimms_per_ch = (ch0dimmA + ch0dimmB > ch1dimmA + ch1dimmB) ?
+	    ch0dimmA + ch0dimmB : ch1dimmA + ch1dimmB;
+	table = 0;
+
+	if (dimms_per_ch == 1) {
+		if ((ctrl->rankmap[0][0]
+		     | ctrl->rankmap[0][1]
+		     | ctrl->rankmap[1][0]
+		     | ctrl->rankmap[1][1]) != 3) {
+			table = 0;
+		} else {
+			table = 1;
+		}
+	} else if (dimms_per_ch == 2) {
+		if ((ctrl->rankmap[0][0] | ctrl->rankmap[0][1]) != 3) {
+			if ((ctrl->rankmap[1][0] | ctrl->rankmap[1][1]) != 3) {
+				table = 2;
+			} else {
+				table = 3;
+			}
+		} else {
+			if ((ctrl->rankmap[1][0] | ctrl->rankmap[1][1]) != 3) {
+				table = 4;
+			} else {
+				table = 5;
+			}
+		}
+	} else {
+		printram
+		    ("Huh, no dimms? m00 = %d m01 = %d m10 = %d m11 = %d dpc = %d\n",
+		     ctrl->rankmap[0][0], ctrl->rankmap[0][1],
+		     ctrl->rankmap[1][0], ctrl->rankmap[1][1], dimms_per_ch);
+		die("");
+	}
+
+	return odt_map[rank][table];
+}
+
+static void dram_mr0(ramctr_timing * ctrl, u8 rank)
+{
+	u32 reg, addr;
+	u16 mr0reg, mch_cas, mch_wr;
+	u8 ch;
+	static const u8 mch_wr_t[12] = { 1, 2, 3, 4, 0, 5, 0, 6, 0, 7, 0, 0 };
+	mr0reg = 0x100;
+
+	// Convert CAS to MCH register friendly
+	if (ctrl->CAS < 12) {
+		mch_cas = (u16) ((ctrl->CAS - 4) << 1);
+	} else {
+		mch_cas = (u16) (ctrl->CAS - 12);
+		mch_cas = ((mch_cas << 1) | 0x1);
+	}
+
+	// Convert tWR to MCH register friendly
+	mch_wr = mch_wr_t[ctrl->tWR - 5];
+
+	mr0reg = (mr0reg & ~0x4) | (mch_cas & 0x1);
+	mr0reg = (mr0reg & ~0x70) | ((mch_cas & 0xe) >> 1);
+	mr0reg = (mr0reg & ~0xe00) | (mch_wr << 9);
+	// Fast (desktop) 0x1 or slow (mobile) 0x0
+	mr0reg = (mr0reg & ~0x1000) | (0x1 << 12);
+
+	for (ch = 0; ch < 2; ch++) {
+		// Wait for ref drained
+		addr = (ch == 0) ? 0x428c : 0x468c;
+		do {
+			reg = MCHBAR32(addr);
+		} while ((reg & 0x50) == 0);
+
+		// Prepare for mrs command
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0x1f << 0xc);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		// Configure the command
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (4 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (4 << 0x10);	//wait
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		// Do MR3
+		reg = 0;
+		reg = (reg & ~0xffff) | mr0reg;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		reg = (reg & ~0x700000) | (0 << 0x14);	// MR0
+		reg = (reg & ~0x3000000) | (rank << 0x18);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static void dram_mr1(ramctr_timing * ctrl, u8 rank)
+{
+	u32 reg, addr;
+	u16 mr1reg;
+	u8 ch;
+	odtmap odt;
+
+	odt = get_ODT(ctrl, rank);
+	mr1reg = 0x2;
+
+	switch (odt.rttnom) {
+	case 30:
+		mr1reg = (mr1reg & ~0x200) | (1 << 9);	// RZQ/8
+		//fallthrough
+	case 60:
+		mr1reg = (mr1reg & ~0x4) | (1 << 2);	// RZQ/4
+		break;
+	case 120:
+		mr1reg = (mr1reg & ~0x40) | (1 << 6);	// RZQ/2
+		break;
+	default:
+	case 0:
+		break;
+	}
+
+	for (ch = 0; ch < 2; ch++) {
+		// Wait for ref drained
+		addr = (ch == 0) ? 0x428c : 0x468c;
+		do {
+			reg = MCHBAR32(addr);
+		} while ((reg & 0x50) == 0);
+
+		// Prepare for mrs command
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0x1f << 0xc);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		// Configure the command
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (4 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (4 << 0x10);	//wait
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		// Do MR1
+		reg = 0;
+		reg = (reg & ~0xffff) | mr1reg;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		reg = (reg & ~0x700000) | (1 << 0x14);	// MR1
+		reg = (reg & ~0x3000000) | (rank << 0x18);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static void dram_mr2(ramctr_timing * ctrl, u8 rank)
+{
+	u32 reg, addr;
+	u16 pasr, cwl, asr, str, mr2reg;
+	u8 ch;
+	odtmap odt;
+
+	pasr = 0;
+	cwl = ctrl->CWL - 5;
+	asr =
+	    (ctrl->thermalrefresh & 0x1) & ((ctrl->thermalrefresh & 0x4) >> 2);
+	str = 0;
+	odt = get_ODT(ctrl, rank);
+
+	mr2reg = 0;
+	mr2reg = (mr2reg & ~0x7) | pasr;
+	mr2reg = (mr2reg & ~0x38) | (cwl << 0x3);
+	mr2reg = (mr2reg & ~0x40) | (asr << 0x6);
+	mr2reg = (mr2reg & ~0x80) | (str << 0x7);
+	mr2reg = (mr2reg & ~0x600) | (odt.rttwr << 0x9);
+
+	for (ch = 0; ch < 2; ch++) {
+		// Wait for ref drained
+		addr = (ch == 0) ? 0x428c : 0x468c;
+		do {
+			reg = MCHBAR32(addr);
+		} while ((reg & 0x50) == 0);
+
+		//specialcommandctl(ch, 0, 0, 0, 0, 0, 0, 0x1f, 0);
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0x1f << 0xc);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		//subseqctl(ch, 0, r=1, w=4, g=4, 0, 0);
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (4 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (4 << 0x10);	//wait
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		//specialcommandaddrset(ch, 0, mr2reg, 6, bank, rank);
+		reg = 0;
+		reg = (reg & ~0xffff) | mr2reg;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		reg = (reg & ~0x700000) | (2 << 0x14);	// MR2
+		reg = (reg & ~0x3000000) | (rank << 0x18);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static void dram_mr3(ramctr_timing * ctrl, u8 rank)
+{
+	u32 reg, addr;
+	u16 mr3reg;
+	u8 ch;
+
+	mr3reg = 0;
+
+	for (ch = 0; ch < 2; ch++) {
+		// Wait for ref drained
+		addr = (ch == 0) ? 0x428c : 0x468c;
+		do {
+			reg = MCHBAR32(addr);
+		} while ((reg & 0x50) == 0);
+
+		// Prepare for mrs command
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0x1f << 0xc);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		// Configure the command
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (4 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (4 << 0x10);	//wait
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		// Do MR3
+		reg = 0;
+		reg = (reg & ~0xffff) | mr3reg;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		reg = (reg & ~0x700000) | (3 << 0x14);	// MR3
+		reg = (reg & ~0x3000000) | (rank << 0x18);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+static void dram_mrscommands(ramctr_timing * ctrl)
+{
+	u8 rank, ch;
+	u32 reg, addr;
+
+	for (rank = 0; rank < 4; rank++) {
+		// MR2
+		printram("MR2 rank %d...", rank);
+		dram_mr2(ctrl, rank);
+		printram("done\n");
+
+		// MR3
+		printram("MR3 rank %d...", rank);
+		dram_mr3(ctrl, rank);
+		printram("done\n");
+
+		// MR1
+		printram("MR1 rank %d...", rank);
+		dram_mr1(ctrl, rank);
+		printram("done\n");
+
+		// MR0
+		printram("MR0 rank %d...", rank);
+		dram_mr0(ctrl, rank);
+		printram("done\n");
+	}
+
+	// Drive NOP for tXS
+	for (ch = 0; ch < 2; ch++) {
+		// Configure the command
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (4 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (15 << 0x10);	//wait
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		// Do NOP
+		reg = 0;
+		reg = (reg & ~0xffff);
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+
+	// Drive ZQCL to all ranks
+	for (ch = 0; ch < 2; ch++) {
+		// Prepare for command
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0x14 << 0xc);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		// Configure the command
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (4 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (400 << 0x10);	//wait ZQOPER
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		// Do ZQCL
+		reg = 0;
+		reg = (reg & ~0xffff) | 0x400;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+
+	// Drain
+	for (ch = 0; ch < 2; ch++) {
+		// Wait for ref drained
+		addr = (ch == 0) ? 0x428c : 0x468c;
+		do {
+			reg = MCHBAR32(addr);
+		} while ((reg & 0x50) == 0);
+	}
+
+	// Refresh enable
+	reg = MCHBAR32(0x5030);
+	reg = (reg & ~0x8) | (1 << 0x3);
+	MCHBAR32(0x5030) = reg;
+
+	for (ch = 0; ch < 2; ch++) {
+		if ((ctrl->rankmap[ch][0] | ctrl->rankmap[ch][1]) != 0) {
+			addr = (ch == 0) ? 0x4020 : 0x4420;
+			reg = MCHBAR32(addr);
+			reg &= ~0x200000;
+			MCHBAR32(addr) = reg;
+
+			addr = (ch == 0) ? 0x428c : 0x468c;
+			reg = MCHBAR32(addr);
+
+			if ((reg & 0x10) == 0x10) {
+				printram("ERROR: Refresh enable failed\n");
+			} else {
+				printram("Refresh enable worked\n");
+			}
+
+			rank = (ctrl->rankmap[ch][0] != 0) ? 0 : 2;
+
+			// Prepare for command
+			reg = 0;
+			reg = (reg & ~0x1f000) | (0x1f << 0xc);
+			addr = (ch == 0) ? 0x4220 : 0x4620;
+			MCHBAR32(addr) = reg;
+
+			// Configure the command
+			reg = 0;
+			reg = (reg & ~0x1ff) | 1;	//repeat
+			reg = (reg & ~0x7c00) | (100 << 0xa);	//gap
+			reg = (reg & ~0x1ff0000) | (100 << 0x10);	//wait
+			addr = (ch == 0) ? 0x4230 : 0x4630;
+			MCHBAR32(addr) = reg;
+
+			// Do exit flow
+			reg = 0;
+			reg = (reg & ~0xffff);
+			reg = (reg & ~0x700000) | (rank << 0x14);	// refresh
+			reg = (reg & ~0x70000) | (6 << 0x10);
+			addr = (ch == 0) ? 0x4200 : 0x4600;
+			MCHBAR32(addr) = reg;
+
+			// Drain
+			addr = (ch == 0) ? 0x428c : 0x468c;
+			do {
+				reg = MCHBAR32(addr);
+			} while ((reg & 0x50) == 0);
+		}
+	}
+}
+
+static void dram_readtraining(ramctr_timing * ctrl)
+{
+	u32 reg, addr, mask;
+	u8 ch, rank, i;
+	u8 edgesize, falling, rising, middle;
+
+	//u8 pistop[SANDYIVY_MAX_SDRAM_PER_DIMM];
+	//u32 i;
+
+	for (ch = 0; ch < 2; ch++) {
+		for (rank = 0; rank < 4; rank++) {
+			if ((ctrl->rankmap[ch][0] & rank)
+			    || (ctrl->rankmap[ch][1] & rank)) {
+				// Precharge banks
+				// Wait for ref drained
+				addr = (ch == 0) ? 0x428c : 0x468c;
+				do {
+					reg = MCHBAR32(addr);
+				} while ((reg & 0x50) == 0);
+
+				reg = 0;
+				reg = (reg & ~0x2) | (1 << 0x1);
+				reg = (reg & ~0x1f000) | (0x1f << 0xc);
+				addr = (ch == 0) ? 0x4220 : 0x4620;
+				MCHBAR32(addr) = reg;
+
+				reg = 0;
+				reg = (reg & ~0x1ff) | 1;	//repeat
+				reg = (reg & ~0x7c00) | (3 << 0xa);	//gap
+				reg = (reg & ~0x1ff0000) | (ctrl->tRP << 0x10);	//wait
+				addr = (ch == 0) ? 0x4230 : 0x4630;
+				MCHBAR32(addr) = reg;
+
+				reg = 0;
+				reg = (reg & ~0xffff) | 0x400;
+				reg = (reg & ~0x70000) | (6 << 0x10);
+				reg = (reg & ~0x700000) | (0 << 0x14);
+				reg = (reg & ~0x3000000) | (rank << 0x18);
+				addr = (ch == 0) ? 0x4200 : 0x4600;
+				MCHBAR32(addr) = reg;
+				printram("Banks precharged on channel %d\n",
+					 ch);
+
+				// Receive enable
+				// Set roundtrip latency
+				addr = (ch == 0) ? 0x4024 : 0x4424;
+				mask = 0x3f << (rank * 8);
+				reg = MCHBAR32(addr);
+				reg = (reg & ~mask) | (55 << (rank * 8));
+				MCHBAR32(addr) = reg;
+
+				// Set io latency
+				addr = (ch == 0) ? 0x4028 : 0x4428;
+				mask = 0xf << (rank * 4);
+				reg = MCHBAR32(addr);
+				reg = (reg & ~mask) | (4 << (rank * 4));
+				MCHBAR32(addr) = reg;
+
+				reg = 0x1;	// recieve enable bit
+				reg = (reg & ~0xc) | (rank << 0x2);	// training rank
+				reg = (reg & ~0x8000) | (1 << 0xf);	// dq/dqs buffers on
+				MCHBAR32(0x3400) = reg;
+
+				/*
+				   // Find DQS high
+				   memset((u8*) pistop, 0, SANDYIVY_MAX_SDRAM_PER_DIMM*sizeof(u8));
+				   if (ctrl->eccsupport == 0) {
+				   pistop[8] = 1;
+				   }
+				 */
+				static const u32 addr_t[9] =
+				    { 0, 0x200, 0x400, 0x600, 0x1000, 0x1200,
+		   0x1400, 0x1600, 0x800 };
+				edgesize = 64;	//64 3e
+				falling = 95;	//63
+				rising = 32;	//0
+				middle = 64;	//32
+
+				// Set middle edge
+				for (i = 0; i < 8; i++) {
+					addr = 0x10 + rank * 4 + 0x100 * ch;
+					addr = addr + addr_t[i];
+					reg = MCHBAR32(addr);
+					reg = (reg & ~0x70000) | (1 << 0x10);	//logic delay
+					reg = (reg & ~0x3f) | (middle - 64);	//middle
+					MCHBAR32(addr) = reg;
+				}
+
+				// Set roundtrip latency
+				addr = (ch == 0) ? 0x4024 : 0x4424;
+				mask = 0x3f << (rank * 8);
+				reg = MCHBAR32(addr);
+				reg = (reg & ~mask) | (55 << (rank * 8));
+				MCHBAR32(addr) = reg;
+
+				// Set io latency
+				addr = (ch == 0) ? 0x4028 : 0x4428;
+				mask = 0xf << (rank * 4);
+				reg = MCHBAR32(addr);
+				reg = (reg & ~mask) | (4 << (rank * 4));
+				MCHBAR32(addr) = reg;
+
+				// set falling edge
+				for (i = 0; i < 8; i++) {
+					addr = 0x10 + rank * 4 + 0x100 * ch;
+					addr = addr + addr_t[i];
+					reg = MCHBAR32(addr);
+					reg = (reg & ~0x3f) | falling;
+					MCHBAR32(addr) = reg;
+				}
+			}
+		}
+	}
+
+	// End training mode
+	MCHBAR32(0x3400) = 0;
+
+	// DDR IO reset
+	// Set
+	reg = 0;
+	reg = MCHBAR32(0x5030);
+	reg = (reg & ~0x20) | (1 << 0x5);
+	MCHBAR32(0x5030) = reg;
+
+	// Wait
+	for (rank = 0; rank < 4; rank++) {
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0xf << 0xc);
+		reg = (reg & ~0x1) | 1;
+		reg = (reg & ~0x2) | (1 << 0x1);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (3 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (20 << 0x10);	//wait clks
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		reg = 0;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		reg = (reg & ~0x700000) | (0 << 0x14);	// bank
+		reg = (reg & ~0x3000000) | (rank << 0x18);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+	// Clear
+	reg &= ~0x20;
+	MCHBAR32(0x3050) = reg;
+
+	// Wait
+	for (rank = 0; rank < 4; rank++) {
+		reg = 0;
+		reg = (reg & ~0x1f000) | (0xf << 0xc);
+		reg = (reg & ~0x1) | 1;
+		reg = (reg & ~0x2) | (1 << 0x1);
+		addr = (ch == 0) ? 0x4220 : 0x4620;
+		MCHBAR32(addr) = reg;
+
+		reg = 0;
+		reg = (reg & ~0x1ff) | 1;	//repeat
+		reg = (reg & ~0x7c00) | (3 << 0xa);	//gap
+		reg = (reg & ~0x1ff0000) | (20 << 0x10);	//wait clks
+		addr = (ch == 0) ? 0x4230 : 0x4630;
+		MCHBAR32(addr) = reg;
+
+		reg = 0;
+		reg = (reg & ~0x70000) | (6 << 0x10);
+		reg = (reg & ~0x700000) | (0 << 0x14);	// bank
+		reg = (reg & ~0x3000000) | (rank << 0x18);
+		addr = (ch == 0) ? 0x4200 : 0x4600;
+		MCHBAR32(addr) = reg;
+	}
+}
+
+void init_dram_ddr3(const dimm_layout * dimms)
+{
+	dimm_info info;
+	ramctr_timing ctrl;
+	u32 reg, addr;
+	u8 ch;
+	struct cpuid_result cpures;
+	u32 cpu;
+
+	/* Get DDR3 SPD data */
+	dram_find_spds_ddr3(dimms, &info, &ctrl);
+
+	/* Find fastest common supported parameters */
+	dram_find_common_params(&info, &ctrl);
+
+	/* Calculate timings */
+	dram_timing(&ctrl);
+
+	/* Set MCU frequency */
+	dram_freq(&ctrl);
+
+	/* Set version register */
+	MCHBAR32(0x5034) = 0x01020200;
+
+	/* Enable crossover */
+	dram_xover(&ctrl);
+
+	/* Set timing and refresh registers */
+	dram_timing_regs(&ctrl);
+
+	/* Power mode preset */
+	MCHBAR32(0x4e80) = 0x5500;
+
+	/* Set scheduler parameters */
+	MCHBAR32(0x4c20) = 0x10100005;
+
+	/* Set cpu specific register */
+	cpures = cpuid(0);
+	cpu = (cpures.eax);
+	if (IS_SANDY_CPU(cpu) && (IS_SANDY_CPU_D0(cpu) || IS_SANDY_CPU_D1(cpu))) {
+		MCHBAR32(0x4f8c) = 0x141D1519;
+	} else {
+		MCHBAR32(0x4f8c) = 0x551D1519;
+	}
+
+	/* Clear IO reset bit */
+	reg = MCHBAR32(0x5030);
+	reg &= ~0x20;
+	MCHBAR32(0x5030) = reg;
+
+	/* TODO Fix dimm map - Set MAD-DIMM registers */
+	dram_dimm_mapping(&info, &ctrl);
+	printram("Done dimm mapping\n");
+
+	/* Zone config */
+	dram_zones(&info, &ctrl);
+
+	/* Set memory map */
+	dram_memorymap(&info);
+	printram("Done memory map\n");
+
+	/* Set IO registers */
+	dram_ioregs(&ctrl, cpu);
+	printram("Done io registers\n");
+
+	/* Do jedec ddr3 reset sequence */
+	dram_jedecreset(&ctrl);
+	printram("Done jedec reset\n");
+
+	/* MRS commands */
+	dram_mrscommands(&ctrl);
+	printram("Done MRS commands\n");
+
+	/* Prepare for memory training */
+	for (ch = 0; ch < 2; ch++) {
+		// Always drive command bus
+		addr = (ch == 0) ? 0x4004 : 0x4404;
+		reg = MCHBAR32(addr);
+		reg = (reg & ~0x20000000) | (1 << 0x1d);
+		MCHBAR32(addr) = reg;
+	}
+
+	/* Read training */
+	dram_readtraining(&ctrl);
+	printram("Done read training (hardcoded pi values)\n");
+
+	/* Write training */
+
+	/* Command training */
+
+	/* Turnaround timings */
+
+	/* Report findings */
+	report_memory_config();
+	post_system_agent_init();
+}
diff --git a/src/northbridge/intel/sandyivy-native/raminit.h b/src/northbridge/intel/sandyivy-native/raminit.h
new file mode 100644
index 0000000..1e10885
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/raminit.h
@@ -0,0 +1,156 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2010 Google Inc.
+ * Copyright (C) 2014 Damien Zammit <damien at zamaudio.com>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#ifndef RAMINIT_SANDYIVY_H
+#define RAMINIT_SANDYIVY_H
+
+#include <console/console.h>
+#include <string.h>
+#include <device/dram/ddr3.h>
+#include <arch/cpu.h>
+#include "sandybridge.h"
+#include "early_smbus.h"
+
+struct sys_info {
+	u8 boot_path;
+#define BOOT_PATH_NORMAL	0
+#define BOOT_PATH_RESET		1
+#define BOOT_PATH_RESUME	2
+} __attribute__ ((packed));
+
+int fixup_sandybridge_errata(void);
+
+#define SANDYIVY_MAX_DIMM_SLOTS 4
+#define SANDYIVY_MAX_CHANNELS 2
+#define SANDYIVY_MAX_RANKS_PER_CH 4
+#define SANDYIVY_MAX_RANKS_PER_DIMM 2
+#define SANDYIVY_MAX_SDRAM_PER_DIMM 9
+
+#define BASEFREQ 133
+#define tDLLK 512
+
+#define IS_SANDY_CPU(x) ((x & 0xffff0) == 0x206a0)
+#define IS_SANDY_CPU_C(x) ((x & 0xf) == 4)
+#define IS_SANDY_CPU_D0(x) ((x & 0xf) == 5)
+#define IS_SANDY_CPU_D1(x) ((x & 0xf) == 6)
+#define IS_SANDY_CPU_D2(x) ((x & 0xf) == 7)
+
+#define IS_IVY_CPU(x) ((x & 0xffff0) == 0x306a0)
+#define IS_IVY_CPU_C(x) ((x & 0xf) == 4)
+#define IS_IVY_CPU_K(x) ((x & 0xf) == 5)
+#define IS_IVY_CPU_D(x) ((x & 0xf) == 6)
+#define IS_IVY_CPU_E(x) ((x & 0xf) >= 8)
+
+
+typedef struct odtmap_st {
+	u16 rttwr;
+	u16 rttnom;
+} odtmap;
+
+typedef struct dimm_layout_st
+{
+        /* The address of the DIMM on the SMBUS
+	 * the order is ch0dimmA, ch0dimmB, ch1dimmA, ch1dimmB
+	 */
+        u8 spd_addr[SANDYIVY_MAX_DIMM_SLOTS];
+} dimm_layout;
+
+typedef struct dimm_info_st
+{
+        dimm_attr dimm[SANDYIVY_MAX_DIMM_SLOTS];
+} dimm_info;
+
+typedef struct mem_rank_st {
+        u16 start_addr;
+        u16 end_addr;
+} mem_rank;
+
+typedef struct rank_layout_st {
+        u32 phys_rank_size_mb[SANDYIVY_MAX_RANKS_PER_CH];
+        mem_rank virt[SANDYIVY_MAX_RANKS_PER_CH];
+        dimm_flags_t flags[SANDYIVY_MAX_RANKS_PER_CH];
+} rank_layout;
+
+typedef struct pci_reg8_st {
+        u8 addr;
+        u8 val;
+} pci_reg8;
+
+typedef u8 timing_dly[8];
+
+typedef struct delay_range_st {
+        timing_dly low;
+        timing_dly avg;
+        timing_dly high;
+} delay_range;
+
+typedef struct board_delay_calib_st {
+        delay_range rx_dq_cr;
+        delay_range rx_dqs;
+        /* Transmit delays are calibrated for each dimm */
+        delay_range tx_dq[SANDYIVY_MAX_DIMM_SLOTS];
+        delay_range tx_dqs[SANDYIVY_MAX_DIMM_SLOTS];
+} board_delay_calib;
+
+typedef volatile struct ramctr_timing_st {
+        enum spd_memory_type dram_type;
+        u16 cas_supported;
+        /* tLatencies are in units of ns, scaled by x256 */
+        u32 tCK;
+        u32 tAA;
+        u32 tWR;
+        u32 tRCD;
+        u32 tRRD;
+        u32 tRP;
+        u32 tRAS;
+        u32 tRC;
+        u32 tRFC;
+        u32 tWTR;
+        u32 tRTP;
+        u32 tFAW;
+        /* Latencies in terms of clock cycles
+         * They are saved separately as they are needed for DRAM MRS commands*/
+        u8 CAS; /* CAS read latency */
+        u8 CWL; /* CAS write latency */
+        u8 WR;  /* write recovery time */
+        /* Number of dimms currently connected */
+        u8 n_dimms;
+
+	u32 tREFI;
+	u32 tMOD;
+	u32 tXSOffset;
+	u32 tWLO;
+	u32 tCKE;
+	u32 tXPDLL;
+	u32 tXP;
+	u32 tAONPD;
+	u8 eccsupport;
+	u8 dualchannel;
+	u8 thermalrefresh;
+
+	u8 channelmap;
+	u8 rankmap[2][2]; //channels, dimms
+} ramctr_timing;
+
+void dram_find_spds_ddr3(const dimm_layout *addr, dimm_info *dimm, ramctr_timing *ctrl);
+void dram_find_common_params(const dimm_info *info, ramctr_timing *ctrl);
+void init_dram_ddr3(const dimm_layout *dimms);
+
+#endif /* RAMINIT_SANDYIVY_H */
diff --git a/src/northbridge/intel/sandyivy-native/report_platform.c b/src/northbridge/intel/sandyivy-native/report_platform.c
new file mode 100644
index 0000000..8230729
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/report_platform.c
@@ -0,0 +1,134 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2012 Google Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <console/console.h>
+#include <arch/cpu.h>
+#include <string.h>
+#include "southbridge/intel/bd82x6x/pch.h"
+#include <arch/io.h>
+#include "sandybridge.h"
+
+static void report_cpu_info(void)
+{
+	struct cpuid_result cpuidr;
+	u32 i, index;
+	char cpu_string[50], *cpu_name = cpu_string; /* 48 bytes are reported */
+	int vt, txt, aes;
+	const char *mode[] = {"NOT ", ""};
+
+	index = 0x80000000;
+	cpuidr = cpuid(index);
+	if (cpuidr.eax < 0x80000004) {
+		strcpy(cpu_string, "Platform info not available");
+	} else {
+		u32 *p = (u32*) cpu_string;
+		for (i = 2; i <= 4 ; i++) {
+			cpuidr = cpuid(index + i);
+			*p++ = cpuidr.eax;
+			*p++ = cpuidr.ebx;
+			*p++ = cpuidr.ecx;
+			*p++ = cpuidr.edx;
+		}
+	}
+	/* Skip leading spaces in CPU name string */
+	while (cpu_name[0] == ' ')
+		cpu_name++;
+
+	cpuidr = cpuid(1);
+	printk(BIOS_DEBUG, "CPU id(%x): %s\n", cpuidr.eax, cpu_name);
+	aes = (cpuidr.ecx & (1 << 25)) ? 1 : 0;
+	txt = (cpuidr.ecx & (1 << 6)) ? 1 : 0;
+	vt = (cpuidr.ecx & (1 << 5)) ? 1 : 0;
+	printk(BIOS_DEBUG, "AES %ssupported, TXT %ssupported, VT %ssupported\n",
+	       mode[aes], mode[txt], mode[vt]);
+}
+
+static struct {
+	u16 dev_id;
+	const char *dev_name;
+} pch_table [] = {
+	/* 6-series PCI ids from
+	 * Intel® 6 Series Chipset and
+	 * Intel® C200 Series Chipset
+	 * Specification Update – NDA
+	 * October 2013
+	 * CDI / IBP#: 440377
+	 */
+	{0x1C41, "SFF Sample"},
+	{0x1C42, "Desktop Sample"},
+	{0x1C43, "Mobile Sample"},
+	{0x1C44, "Z68"},
+	{0x1C46, "P67"},
+	{0x1C47, "UM67"},
+	{0x1C49, "HM65"},
+	{0x1C4A, "H67"},
+	{0x1C4B, "HM67"},
+	{0x1C4C, "Q65"},
+	{0x1C4D, "QS67"},
+	{0x1C4E, "Q67"},
+	{0x1C4F, "QM67"},
+	{0x1C50, "B65"},
+	{0x1C52, "C202"},
+	{0x1C54, "C204"},
+	{0x1C56, "C206"},
+	{0x1C5C, "H61"},
+	/* 7-series PCI ids from Intel document 472178 */
+	{0x1E41, "Desktop Sample"},
+	{0x1E42, "Mobile Sample"},
+	{0x1E43, "SFF Sample"},
+	{0x1E44, "Z77"},
+	{0x1E45, "H71"},
+	{0x1E46, "Z75"},
+	{0x1E47, "Q77"},
+	{0x1E48, "Q75"},
+	{0x1E49, "B75"},
+	{0x1E4A, "H77"},
+	{0x1E53, "C216"},
+	{0x1E55, "QM77"},
+	{0x1E56, "QS77"},
+	{0x1E58, "UM77"},
+	{0x1E57, "HM77"},
+	{0x1E59, "HM76"},
+	{0x1E5D, "HM75"},
+	{0x1E5E, "HM70"},
+	{0x1E5F, "NM70"},
+};
+
+static void report_pch_info(void)
+{
+	int i;
+	u16 dev_id = pci_read_config16(PCH_LPC_DEV, 2);
+
+
+	const char *pch_type = "Unknown";
+	for (i = 0; i < ARRAY_SIZE(pch_table); i++) {
+		if (pch_table[i].dev_id == dev_id) {
+			pch_type = pch_table[i].dev_name;
+			break;
+		}
+	}
+	printk (BIOS_DEBUG, "PCH type: %s, device id: %x, rev id %x\n",
+		pch_type, dev_id, pci_read_config8(PCH_LPC_DEV, 8));
+}
+
+void report_platform_info(void)
+{
+	report_cpu_info();
+	report_pch_info();
+}
diff --git a/src/northbridge/intel/sandyivy-native/sandybridge.h b/src/northbridge/intel/sandyivy-native/sandybridge.h
new file mode 100644
index 0000000..291ea46
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/sandybridge.h
@@ -0,0 +1,242 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2008 coresystems GmbH
+ * Copyright (C) 2011 Google Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#ifndef __NORTHBRIDGE_INTEL_SANDYBRIDGE_SANDYBRIDGE_H__
+#define __NORTHBRIDGE_INTEL_SANDYBRIDGE_SANDYBRIDGE_H__ 1
+
+/* Chipset types */
+#define SANDYBRIDGE_MOBILE	0
+#define SANDYBRIDGE_DESKTOP	1
+#define SANDYBRIDGE_SERVER	2
+
+/* Device ID for SandyBridge and IvyBridge */
+#define BASE_REV_SNB	0x00
+#define BASE_REV_IVB	0x50
+#define BASE_REV_MASK	0x50
+
+/* SandyBridge CPU stepping */
+#define SNB_STEP_D0	(BASE_REV_SNB + 5) /* Also J0 */
+#define SNB_STEP_D1	(BASE_REV_SNB + 6)
+#define SNB_STEP_D2	(BASE_REV_SNB + 7) /* Also J1/Q0 */
+
+/* IvyBridge CPU stepping */
+#define IVB_STEP_A0	(BASE_REV_IVB + 0)
+#define IVB_STEP_B0	(BASE_REV_IVB + 2)
+#define IVB_STEP_C0	(BASE_REV_IVB + 4)
+#define IVB_STEP_K0	(BASE_REV_IVB + 5)
+#define IVB_STEP_D0	(BASE_REV_IVB + 6)
+
+/* Intel Enhanced Debug region must be 4MB */
+#define IED_SIZE	0x400000
+
+/* Northbridge BARs */
+#define DEFAULT_PCIEXBAR	CONFIG_MMCONF_BASE_ADDRESS	/* 4 KB per PCIe device */
+#define DEFAULT_MCHBAR		0xfed10000	/* 16 KB */
+#define DEFAULT_DMIBAR		0xfed18000	/* 4 KB */
+#define DEFAULT_EPBAR		0xfed19000	/* 4 KB */
+#define DEFAULT_RCBABASE	0xfed1c000
+
+#include <southbridge/intel/bd82x6x/pch.h>
+
+/* Everything below this line is ignored in the DSDT */
+#ifndef __ACPI__
+
+/* Device 0:0.0 PCI configuration space (Host Bridge) */
+
+#define EPBAR		0x40
+#define MCHBAR		0x48
+#define PCIEXBAR	0x60
+#define DMIBAR		0x68
+#define X60BAR		0x60
+
+#define GGC		0x50			/* GMCH Graphics Control */
+
+#define DEVEN		0x54			/* Device Enable */
+#define  DEVEN_PEG60	(1 << 13)
+#define  DEVEN_IGD	(1 << 4)
+#define  DEVEN_PEG10	(1 << 3)
+#define  DEVEN_PEG11	(1 << 2)
+#define  DEVEN_PEG12	(1 << 1)
+#define  DEVEN_HOST	(1 << 0)
+
+#define PAM0		0x80
+#define PAM1		0x81
+#define PAM2		0x82
+#define PAM3		0x83
+#define PAM4		0x84
+#define PAM5		0x85
+#define PAM6		0x86
+
+#define LAC		0x87	/* Legacy Access Control */
+#define SMRAM		0x88	/* System Management RAM Control */
+#define  D_OPEN		(1 << 6)
+#define  D_CLS		(1 << 5)
+#define  D_LCK		(1 << 4)
+#define  G_SMRAME	(1 << 3)
+#define  C_BASE_SEG	((0 << 2) | (1 << 1) | (0 << 0))
+
+#define TOM		0xa0
+#define TOUUD		0xa8	/* Top of Upper Usable DRAM */
+#define TSEG		0xb8	/* TSEG base */
+#define TOLUD		0xbc	/* Top of Low Used Memory */
+
+#define SKPAD		0xdc	/* Scratchpad Data */
+
+/* Device 0:1.0 PCI configuration space (PCI Express) */
+
+#define BCTRL1		0x3e	/* 16bit */
+
+
+/* Device 0:2.0 PCI configuration space (Graphics Device) */
+
+#define MSAC		0x62	/* Multi Size Aperture Control */
+#define SWSCI		0xe8	/* SWSCI  enable */
+#define ASLS		0xfc	/* OpRegion Base */
+
+/*
+ * MCHBAR
+ */
+
+#define MCHBAR8(x) *((volatile u8 *)(DEFAULT_MCHBAR + x))
+#define MCHBAR16(x) *((volatile u16 *)(DEFAULT_MCHBAR + x))
+#define MCHBAR32(x) *((volatile u32 *)(DEFAULT_MCHBAR + x))
+#define MCHBAR32_OR(x, or) MCHBAR32(x) = (MCHBAR32(x) | (or))
+
+#define SSKPD		0x5d14	/* 16bit (scratchpad) */
+#define BIOS_RESET_CPL	0x5da8	/* 8bit */
+
+/*
+ * EPBAR - Egress Port Root Complex Register Block
+ */
+
+#define EPBAR8(x) *((volatile u8 *)(DEFAULT_EPBAR + x))
+#define EPBAR16(x) *((volatile u16 *)(DEFAULT_EPBAR + x))
+#define EPBAR32(x) *((volatile u32 *)(DEFAULT_EPBAR + x))
+
+#define EPPVCCAP1	0x004	/* 32bit */
+#define EPPVCCAP2	0x008	/* 32bit */
+
+#define EPVC0RCAP	0x010	/* 32bit */
+#define EPVC0RCTL	0x014	/* 32bit */
+#define EPVC0RSTS	0x01a	/* 16bit */
+
+#define EPVC1RCAP	0x01c	/* 32bit */
+#define EPVC1RCTL	0x020	/* 32bit */
+#define EPVC1RSTS	0x026	/* 16bit */
+
+#define EPVC1MTS	0x028	/* 32bit */
+#define EPVC1IST	0x038	/* 64bit */
+
+#define EPESD		0x044	/* 32bit */
+
+#define EPLE1D		0x050	/* 32bit */
+#define EPLE1A		0x058	/* 64bit */
+#define EPLE2D		0x060	/* 32bit */
+#define EPLE2A		0x068	/* 64bit */
+
+#define PORTARB		0x100	/* 256bit */
+
+/*
+ * DMIBAR
+ */
+
+#define DMIBAR8(x) *((volatile u8 *)(DEFAULT_DMIBAR + x))
+#define DMIBAR16(x) *((volatile u16 *)(DEFAULT_DMIBAR + x))
+#define DMIBAR32(x) *((volatile u32 *)(DEFAULT_DMIBAR + x))
+
+#define DMIVCECH	0x000	/* 32bit */
+#define DMIPVCCAP1	0x004	/* 32bit */
+#define DMIPVCCAP2	0x008	/* 32bit */
+
+#define DMIPVCCCTL	0x00c	/* 16bit */
+
+#define DMIVC0RCAP	0x010	/* 32bit */
+#define DMIVC0RCTL0	0x014	/* 32bit */
+#define DMIVC0RSTS	0x01a	/* 16bit */
+
+#define DMIVC1RCAP	0x01c	/* 32bit */
+#define DMIVC1RCTL	0x020	/* 32bit */
+#define DMIVC1RSTS	0x026	/* 16bit */
+
+#define DMILE1D		0x050	/* 32bit */
+#define DMILE1A		0x058	/* 64bit */
+#define DMILE2D		0x060	/* 32bit */
+#define DMILE2A		0x068	/* 64bit */
+
+#define DMILCAP		0x084	/* 32bit */
+#define DMILCTL		0x088	/* 16bit */
+#define DMILSTS		0x08a	/* 16bit */
+
+#define DMICTL1		0x0f0	/* 32bit */
+#define DMICTL2		0x0fc	/* 32bit */
+
+#define DMICC		0x208	/* 32bit */
+
+#define DMIDRCCFG	0xeb4	/* 32bit */
+
+#ifndef __ASSEMBLER__
+static inline void barrier(void) { asm("" ::: "memory"); }
+
+struct ied_header {
+	char signature[10];
+	u32 size;
+	u8 reserved[34];
+} __attribute__ ((packed));
+
+#define PCI_DEVICE_ID_SB 0x0104
+#define PCI_DEVICE_ID_IB 0x0154
+
+#ifdef __SMM__
+void intel_sandybridge_finalize_smm(void);
+#else /* !__SMM__ */
+int bridge_silicon_revision(void);
+void sandybridge_early_initialization(int chipset_type);
+void sandybridge_late_initialization(void);
+
+/* debugging functions */
+void print_pci_devices(void);
+void dump_pci_device(unsigned dev);
+void dump_pci_devices(void);
+void dump_spd_registers(void);
+void dump_mem(unsigned start, unsigned end);
+void report_platform_info(void);
+#endif /* !__SMM__ */
+
+
+#define MRC_DATA_ALIGN           0x1000
+#define MRC_DATA_SIGNATURE       (('M'<<0)|('R'<<8)|('C'<<16)|('D'<<24))
+
+struct mrc_data_container {
+	u32	mrc_signature;	// "MRCD"
+	u32	mrc_data_size;	// Actual total size of this structure
+	u32	mrc_checksum;	// IP style checksum
+	u32	reserved;	// For header alignment
+	u8	mrc_data[0];	// Variable size, platform/run time dependent.
+} __attribute__ ((packed));
+
+struct mrc_data_container *find_current_mrc_cache(void);
+#if !defined(__PRE_RAM__)
+#include "gma.h"
+int init_igd_opregion(igd_opregion_t *igd_opregion);
+#endif
+
+#endif
+#endif
+#endif
diff --git a/src/northbridge/intel/sandyivy-native/udelay.c b/src/northbridge/intel/sandyivy-native/udelay.c
new file mode 100644
index 0000000..608726c
--- /dev/null
+++ b/src/northbridge/intel/sandyivy-native/udelay.c
@@ -0,0 +1,55 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2007-2008 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
+ */
+
+#include <delay.h>
+#include <stdint.h>
+#include <cpu/x86/tsc.h>
+#include <cpu/x86/msr.h>
+
+/**
+ * Intel Sandy Bridge/Ivy Bridge CPUs always run the TSC at BCLK=100MHz
+ */
+
+void udelay(u32 us)
+{
+	u32 dword;
+	tsc_t tsc, tsc1, tscd;
+	msr_t msr;
+	u32 fsb = 100, divisor;
+	u32 d;			/* ticks per us */
+
+	msr = rdmsr(0xce);
+	divisor = (msr.lo >> 8) & 0xff;
+
+	d = fsb * divisor;	/* On Core/Core2 this is divided by 4 */
+	multiply_to_tsc(&tscd, us, d);
+
+	tsc1 = rdtsc();
+	dword = tsc1.lo + tscd.lo;
+	if ((dword < tsc1.lo) || (dword < tscd.lo)) {
+		tsc1.hi++;
+	}
+	tsc1.lo = dword;
+	tsc1.hi += tscd.hi;
+
+	do {
+		tsc = rdtsc();
+	} while ((tsc.hi < tsc1.hi)
+		 || ((tsc.hi == tsc1.hi) && (tsc.lo < tsc1.lo)));
+}
diff --git a/src/southbridge/intel/bd82x6x/early_smbus.c b/src/southbridge/intel/bd82x6x/early_smbus.c
index 9de97e7..7b602c4 100644
--- a/src/southbridge/intel/bd82x6x/early_smbus.c
+++ b/src/southbridge/intel/bd82x6x/early_smbus.c
@@ -42,7 +42,7 @@ void enable_smbus(void)
 			   SMBUS_IO_BASE | PCI_BASE_ADDRESS_SPACE_IO);
 
 	/* Set SMBus enable. */
-	pci_write_config8(dev, HOSTC, HST_EN);
+	pci_write_config8(dev, HOSTC, HST_EN | SMI_EN);
 
 	/* Set SMBus I/O space enable. */
 	pci_write_config16(dev, PCI_COMMAND, PCI_COMMAND_IO);
diff --git a/src/southbridge/intel/bd82x6x/smi.c b/src/southbridge/intel/bd82x6x/smi.c
index 0166edf..73d0d0a 100644
--- a/src/southbridge/intel/bd82x6x/smi.c
+++ b/src/southbridge/intel/bd82x6x/smi.c
@@ -34,6 +34,10 @@
 #include "northbridge/intel/sandybridge/sandybridge.h"
 #endif
 
+#if CONFIG_NORTHBRIDGE_INTEL_SANDYIVY_NATIVE
+#include "northbridge/intel/sandyivy-native/sandybridge.h"
+#endif
+
 extern unsigned char _binary_smm_start;
 extern unsigned char _binary_smm_end;
 



More information about the coreboot-gerrit mailing list