[coreboot-gerrit] Change in coreboot[master]: soc/intel: Drop `bootblock_cpu_init()` function